Está en la página 1de 11

Facultad de Ingenierı́a

Control Avanzado

Adquisición de una señal analógica usando filtro antialiasing

Práctica 1

Profesor:
Dr. Roberto Valentı́n Carrillo Serrano

Equipo 1
Integrantes:
Enterado, Marzo 14 2021
Garcı́a Sánchez Rubén Alejandro Cal. 7
Hernández Ávila Victor Manuel Cal. 4
Martı́nez Olvera Judith Cal. 6.5
Michelle Alfonso Manriquez Lanuza
Manrı́quez Lanuza Michelle Alfonso Cal. 6 Enterado, 14/03/2021
Vera Niño Carlos Cal. 7.5

Carlos Vera Niño, Enterado 15/03/2021

Judith Martínez Olvera, enterada


16/03/2021

Enterado, 16/03/2021

04 de marzo de 2021
Índice
1. Objetivo 2

2. Marco Teórico 2

3. Materiales y Métodos 2
3.1. Materiales . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2
3.2. Metodologı́a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3

4. Resultados y discusión del equipo 5

5. Resultados y discusión individual 8


5.1. Vera Niño Carlos . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
5.2. Martı́nez Olvera Judith . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
5.3. Manrı́quez Lanuza Michelle Alfonso . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
5.4. Garcı́a Sánchez Rubén Alejandro . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
5.5. Hernández Ávila Vı́ctor Manuel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

6. Conclusión del equipo 9

7. Conclusiones individuales 9
7.1. Vera Niño Carlos . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
7.2. Martı́nez Olvera Judith . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
7.3. Manrı́quez Lanuza Michelle Alfonso . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
7.4. Garcı́a Sánchez Rubén Alejandro . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
7.5. Hernández Ávila Vı́ctor Manuel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10

8. Referencias bibliográficas 10

Índice de figuras
1. Diagrama de conexiones del circuito conectado para realizar la práctica, circuito sin filtro
pasa baja de primer orden antialiasing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3
2. Esquemático del amplificador operacional sumador inversor con filtro pasa bajas. . . . . . 3
3. Diagrama de flujo del proceso. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
4. Comparación entre la señal sin filtrar entregada por el amplificador sumador (en amari-
llo) y la señal muestreada sin filtro devuelta por el microcontrolador a través del DAC
(azul). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
5. Comparación entre la señal sin filtrar entregada por el amplificador sumador (en amari-
llo) y la señal muestreada con filtro antialiasing devuelta por el microcontrolador a través
del DAC (azul). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
6. En amarillo se muestra la señal entregada por el amplificador sumador filtrada por el fil-
tro antialiasing y en azul se muestra la señal muestreada usando el mismo filtro y devuel-
ta por el microcontrolador a través del DAC. . . . . . . . . . . . . . . . . . . . . . . . . . 7
1. Objetivo
Construir un sistema de adquisición de datos con un PIC16F877A para muestrear una señal sinusoidal
de 10[Hz], contaminada con una señal de 1[kHz], que incluya un filtro antialiasing antes del convertidor
analógico a digital.

2. Marco Teórico
Si el sistema de muestreo de señales analógicas no está protegido correctamente, habrá inexactitudes
debido al fenómeno conocido como solapamiento (aliasing). Este fenómeno se produce cuando el sistema
adquiere datos a una tasa de muestreo insuficiente. Si la señal contiene frecuencias mayores a la frecuencia
de Nyquist, estas se mezclarán con la señal a muestrear y será imposible hacer una reconstrucción fiel a
partir de la señal digital.

La solución para esto es filtrar las señales de entrada cuya frecuencia esté por debajo de la mitad de la
frecuencia de muestreo del ADC. Esto se logra usando filtros analógicos pasa bajas también llamados
filtros antialiasing. Esta condición está basada en el criterio de Nyquist, que establece que la frecuencia
de muestreo del sistema debe ser al menos dos veces mayor que la frecuencia de la señal a muestrear.

3. Materiales y Métodos
3.1. Materiales
Se utilizaron los siguientes materiales

PIC16F877A Resistencia de 330[Ω]

Osciloscopio Instrustar ISDS205B Resistencia de 56[kΩ]


Software Multi VirAnalyzer v3.10.6.2 Capacitor de 0.01[µF ]
Convertidor analógico a digital DAC0800 4 Capacitores de 0.1[µF ]
2 Amplificadores operacionales TL081
2 Capacitores de 15[pF ]
Fuente dual con transformador de fabricación
casera de ±12[V ] Cristal de 20[M Hz]

3 Resistencias de 1[kΩ] Cables y caimanes

4 Resistencias de 4.7[kΩ] Protoboard

Potenciómetro de 5[kΩ] Dos puntas de osciloscopio

2
3.2. Metodologı́a
1. Se conectó el circuito de la Figura 1.

Figura 1: Diagrama de conexiones del circuito conectado para realizar la práctica, circuito sin filtro pa-
sa baja de primer orden antialiasing.

2. Se conectó también el amplificador sumador y el filtro pasa bajas de la Figura 2, utilizando una
resistencia R = 56[kΩ] y un capacitor C = 0.1[µF ].

Figura 2: Esquemático del amplificador operacional sumador inversor con filtro pasa bajas.

3. Se programó el microcontrolador de acuerdo al diagrama de flujo de la Figura 3, con una frecuencia


de muestreo de 100[Hz] (una muestra cada 10[ms]) y un ADC de 8[bits].

3
Figura 3: Diagrama de flujo del proceso.

4. Se utilizó una señal cuadrada de 1[kHZ] como señal de ruido, con una amplitud pico a pico de 2[V ]
(entre 1[V ] y −1[V ]) y se conectó como la señal S1 al amplificador sumador de acuerdo con el
diagrama de la Figura 2.

5. Con el generador del osciloscopio, se generó una señal sinusoidal de 10[Hz] y aproximadamente 3[V ]
(entre −1[V ] y −4[V ], para obtener una señal positiva con ruido después del sumador) y se conectó
como la señal S2 al amplificador sumador de acuerdo con el diagrama de la Figura 2.

6. En el pin de lectura analógica del microcontrolador se estuvo conectando tanto la salida sin filtrar
como la salida filtrada del amplificador sumador mostrado en la Figura 2.

7. Se conectó una punta del osciloscopio a la salida del amplificador operacional de la Figura 1 para
ver en el osciloscopio la reconstrucción analógica de la señal muestreada.

8. La otra punta de osciloscopio se estuvo conectando a la señal de entrada sin filtrar y a la señal de
entrada después de pasar por el filtro antialiasing, mostrado también en la Figura 2.

4
4. Resultados y discusión del equipo
En la Figura 4, se puede notar el efecto de solapamiento en la señal azul por haber muestreado la señal
amarilla sin usar el filtro antialiasing, es decir, sin filtrar las señales de altas frecuencias.

Figura 4: Comparación entre la señal sin filtrar entregada por el amplificador sumador (en amarillo) y
la señal muestreada sin filtro devuelta por el microcontrolador a través del DAC (azul).

5
En la Figura 5 se puede notar que se evita el efecto de solapamiento en la señal azul al haber muestreado
la señal amarilla pero usando un filtro antialiasing.

Figura 5: Comparación entre la señal sin filtrar entregada por el amplificador sumador (en amarillo) y
la señal muestreada con filtro antialiasing devuelta por el microcontrolador a través del DAC (azul).

6
En la Figura 6 se muestra el efecto de haber evitado el fenómeno de solapamiento luego de haber usado
un filtro antialiasing para filtrar las señales de altas frecuencias.

Figura 6: En amarillo se muestra la señal entregada por el amplificador sumador filtrada por el filtro
antialiasing y en azul se muestra la señal muestreada usando el mismo filtro y devuelta por el microcon-
trolador a través del DAC.

7
5. Resultados y discusión individual
5.1. Vera Niño Carlos
En la Figura 4, podemos observar en amarillo la señal a la salida del amplificador sumador, la cual es
una señal sinusoidal altamente ruidosa y contaminada por la señal de 1[kHz]. Si esa señal entra al ADC,
la señal reconstruida con el DAC que se obtiene está totalmente deformada y sin patrón alguno, pues el
ADC recoge datos imprecisos afectados por el ruido. Esto no es nada confiable. En la Figura 5, cuando se
usa un filtro antialiasing antes de la adquisición de datos, el resultado cambia por completo, y se puede
observar en la señal reconstruida por el DAC (en azul), cómo se puede recuperar la señal sinusoidal inicial.
Finalmente, en la Figura 6, se puede apreciar en amarillo la señal original ya filtrada, sin verse afectada
por el ruido (en amarillo) y la misma señal reconstruida por el DAC (en azul). Estas señales son confiables
ya que el ruido de altas frecuencias fue eliminado antes de entrar al sistema por el filtro.

5.2. Martı́nez Olvera Judith


Primero, el sistema de adquisición de datos hizo el muestreo de una señal sinusoidal de 10[Hz] conta-
minada con una señal de 1[kHz] sin incluir un filtro antialiasing antes del convertidor analógico a digital,
el resultado de este experimento se muestra en la Figura 4, la señal amarilla es la señal sinusoidal conta-
minada y la señal azul es la señal devuelta por el microcontrolador, la cual muestra estragos del efecto
de solapamiento por no utilizar el filtro antialiasing, ya que aunque está discretizada no está uniforme, es
una distorsión debido al fenómeno de aliasing. Para mostrar el funcionamiento del filtro antialiasing que
se calculó, el sistema de adquisición de datos hizo el muestreo de la señal sinusoidal contaminada pero
después de haber pasado por el filtro, el resultado de este experimento se muestra en la Figura 6, ahora
entró al ADC del microcontrolador una señal limpia y sin ruido (la señal sinusoidal en amarrilo) y lo que
salió del sistema digital es una señal sinusoidal regular y uniforme, se ve escalonada porque la señal está
digitalizada y muestreada cada 10[ms] (señal azul).

5.3. Manrı́quez Lanuza Michelle Alfonso


En las imágenes que representan los resultados podemos observar en una primera instancia, una señal
analógica sin filtrar entregada por un amplificador sumador representada en color amarillo, ası́ mismo la
señal que entrega el microcontrolador por medio del convertidor digital a analógico, en ambas señales se
observa ruido de hasta 1[KHz] lo cual causa variaciones en la forma y frecuencia de las señales. Para
poder mejorar la calidad de las señales se implemento un filtro RC pasa bajas basado en una resistencia
y un capacitor, este filtro se conoce también en el contexto de control como filtro antialiasing, el cual nos
dará como resultado en este caso especifico las señales mostradas en la Figura 6. De tal manera que las
señales filtradas serán mas uniformes y se mantendrán mas cercanas a la frecuencia de 10[Hz], que es lo
que se espera.

5.4. Garcı́a Sánchez Rubén Alejandro


La señal sinusoidal a la salida del sumador incluida la señal de ruido de un 1[kHz]. Cuando esta señal
ruidosa no se filtraba antes de ser leı́da por el ADC, entregaba a la salida una señal muy imprecisa y
muy variante, a veces muy aplanada. Una vez incluı́do el filtro antialiasing pasa bajas, entonces se evitó el
fenómeno del solapamiento porque pudimos descartar el ruido de 1[kHz] y quedarnos con la señal deseada
de 10[Hz]. Para este objetivo fue importante muestrear cuando menos 20 veces por segundo. Se pudo ver
también que la señal reconstruida tenı́a un desfase respecto de la señal de entrada.

8
5.5. Hernández Ávila Vı́ctor Manuel
En la figura 4, la señal de color amarilla del canal 1 es la señal que entrega a la salida el amplificador
operacional configurado como sumador inversor, siendo el resultado de la suma de una señal sinusoidal
de baja frecuencia de 10[Hz] y una señal cuadrada de alta frecuencia de 1[kHz]. Si dicha señal entra a
un sistema digital con la intención de realizar un proceso de conversión de analógico a digital se obtiene
como resultado la señal de color azul del canal 1, la cual presenta un fenómeno no deseado de los sistemas
digitales conocido como aliasing o solapamiento. Tal fenómeno provoca que la señal de salida se contamine
con otra señal sinusoidal de baja frecuencia, teniendo como resultado efectos negativos en la señal de salida
tales como poca uniformidad o distorsión en su forma con incrementos y decrementos en su amplitud,
siendo una representación poco fiel a la señal original sinusoidal de 10[Hz] que se pretendı́a convertir a
digital.En la figura 6, los resultados mostrados son los obtenidos al corregir los efectos provocados por el
fenómeno de aliasing mediante un filtro antialiasing que consiste en un filtro pasa bajas RC de primer
orden ubicado entre la señal de entrada y el sistema digital. Dicho filtro fue diseñado para evitar que
cualquier frecuencia mayor a 50[Hz], la cual es la frecuencia de Nyquist, entre al sistema digital. La señal
de color amarillo del canal 1 es la señal de entrada filtrada, la cual ya no presenta ruido. La señal continua
a tramos de color azul del canal 2 es la salida del sistema digital, tal resultado es la representación digital
de la señal sinusoidal de entrada de 10[Hz] y se puede observar que es fiel a la señal original dado que es
regular y uniforme.

6. Conclusión del equipo


Son muy claros los estragos que provoca el efecto de solapamiento al igual que los cambios que produce
utilizar el filtro antialiasing para filtrar la señal que se desea muestrear. Quedó claro además que la
frecuencia de muestreo debe ser de al menos dos veces mayor a la frecuencia de la señal que se desea
muestrear y que la frecuencia de corte del filtro antialiasing debe ser de la mitad de la frecuencia de
muestreo. También fue evidente que la señal que se ha reconstruido a partir del muestreo tiene un desfase
ligero con respecto a la señal que se está muestreando.

7. Conclusiones individuales
7.1. Vera Niño Carlos
El uso de un filtro antialiasing es de suma utilidad al trabajar con sistemas digitales. En esta práctica
pudimos ver cómo una señal sinusoidal afectada por una alta frecuencia que no es filtrada al entrar al
ADC, es imposible de reconstruir fielmente, sino que arroja una señal deformada muy lejos de la señal
original muestreada. Para poder hacer lecturas confiables, es necesario colocar un filtro pasa bajas antes de
entrar al ADC, que nos permita librarnos de estas frecuencias parásitas. El filtro debe eliminar frecuencias
mayores a la frecuencia de Nyquist, la cual es la mitad de la frecuencia de muestreo del sistema.

7.2. Martı́nez Olvera Judith


Es importante tener en cuenta que no se debe permitir el paso de ruido mayor a la frecuencia de
Nyquist, ya que el sistema digital da como resultados cosas que no están sucediendo en la realidad,
en esta práctica se pudo observar claramente las consecuencias que provoca el efecto aliansing sobre el
proceso de muestreo, ya que se tiene grandes errores si no se corrige el fenómeno. Una solución planteada
fue el filtro pasabajas, el cual aseguró que cualquier frecuencia por encima de la mitad de la frecuencia
de muestreo no entrara al sistema. Fue una solución simple y económica pero muy éficaz.

9
7.3. Manrı́quez Lanuza Michelle Alfonso
Actualmente el uso de sistemas para ADC y DAC se han vuelto el pan de cada dı́a en la industria
y la investigación, por lo que la fidelidad y la calidad de las señales ya sean muestreadas o generadas es
de gran importancia, de tal modo que filtros como el implementado en esta práctica son de gran utilidad
para obtener resultados deseables, y es que cabe mencionar que mientras en un laboratorio o centro de
investigación las condiciones son optimizadas para lograr resultados esperados, en la industria existe una
gran cantidad de fuentes de ruido, incluyendo electricidad estática, la cual incluso esta normalizada. Por
lo que la aplicación de estos filtros es muy amplia y puede hacer la diferencia a la hora de optimizar
sistemas de control en los ámbitos ya mencionados.

7.4. Garcı́a Sánchez Rubén Alejandro


La frecuencia de muestreo es lo primero que se debe planear en el diseño de un sistema de muestreo digital
y debe ser al menos dos veces mayor que la frecuencia de la señal que se va a muestrear, misma que debe
ser filtrada con un filtro pasa bajas para descartar ruido o señales indeseadas de frecuencia mayor a la
mitad de la frecuencia de muestreo. El filtro que se use no debe tener ganancia.

7.5. Hernández Ávila Vı́ctor Manuel


Gracias al filtro pasa bajas RC de primer orden se pudieron corregir los efectos provocados por el fenómeno
de aliasing. Para realizar un correcto diseño de tal filtro antialising es necesario tomar en cuenta la
frecuencia de la señal de entrada y la frecuencia de muestreo para determinar la frecuencia de Nyquist y
a su vez la frecuencia de corte del filtro. En las aplicaciones donde se involucra el proceso de conversión
de una señal de analógica a digital, es muy probable que tal señal de entrada se contamine con ruido por
diversos factores asociados a componentes electrónicos o al entorno fı́sico alrededor. Si no se contempla
tal situación y los ruidos entran al sistema digital en cuestión, no se obtendrá una representación digital
fiel de la señal original debido al fenómeno de aliasing, lo cual provocará que se trabaje con información
falsa.

8. Referencias bibliográficas
Carrillo Serran, R. V. (2021). Material didáctico control avanzado. Notas de clase.

10

También podría gustarte