Está en la página 1de 14

Introducción.

A continuación en esta segunda práctica desarrollaremos entraremos en una


introducción en los primeros pasos para aprender a desarrollar el conocimiento en
la programacion e uso del CPLD por medio de un software el cual fue
proporcionado por el maestro con las respectivas indicaciones de usos y
configuración la cual logrando de manera correcta podremos lograr la primera
práctica, con su respectivo marco teórico el cual es el desarrollo e introducción a el
entendimiento de las pulsaciones y manejo de compuertas.
Las Compuertas Lógicas son circuitos electrónicos conformados internamente por
transistores que se encuentran con arreglos especiales y estos arreglos dependen
de qué tipo de puerta lógica sea, las compuertas más básicas son NOT, AND, OR,
NAND, NOR, XOR, estas compuertas se diferencian por su simbología número de
serie y nombre ya que todas tienen una fórmula diferente por ejemplo la
compuerta and es una multiplicación entre las dos señales y estas señales entran
con forma binaria (0,1) y OR es una suma.

Marco Teórico.

Las puertas lógicas son el corazón de la electrónica digital. Una puerta es un


dispositivo electrónico que se utiliza para calcular una función en una señal de dos
valores. Las puertas lógicas son el componente básico de los circuitos digitales.
Básicamente, todas las puertas lógicas tienen una salida y dos entradas. Algunas
puertas lógicas como la puerta NOT o el inversor tienen solo una entrada y una
salida. Las entradas de las puertas lógicas están diseñadas para recibir solo datos
binarios (sólo bajo 0 alto 1) al recibir la entrada de voltaje.
El bajo nivel lógico representa cero voltios y el nivel lógico alto representa 3 o 5
voltios de suministro positivo.
Podemos conectar cualquier número de compuertas lógicas para diseñar un
circuito digital requerido. Prácticamente, implementamos la gran cantidad de
puertas lógicas en circuitos integrados, mediante las cuales podemos aprovechar
el espacio físico ocupado por la gran cantidad de puertas lógicas. También
podemos realizar operaciones complicadas a altas velocidades mediante el uso de
circuitos integrados (IC).
Al combinar compuertas lógicas, podemos diseñar muchos circuitos específicos
como flip flops, pestillos, multiplexores, registros de desplazamiento, etc.
Las Compuertas Lógicas son circuitos electrónicos conformados internamente por
transistores que se encuentran con arreglos especiales con los que otorgan
señales de voltaje como resultado o una salida de forma booleana, están
obtenidos por operaciones lógicas binarias (suma, multiplicación). También niegan,
1
afirman, incluyen o excluyen según sus propiedades lógicas. Estas compuertas se
pueden aplicar en otras áreas de la ciencia como mecánica, hidráulica o
neumática.
Existen diferentes tipos de compuertas y algunas de estas son más complejas,
con la posibilidad de ser simuladas por compuertas más sencillas. Todas estas
tienen tablas de verdad que explican los comportamientos en los resultados que
otorga, dependiendo del valor booleano que tenga en cada una de sus entradas.

Trabajan en dos estados, “1” o “0”, los cuales pueden asignarse a la lógica positiva
o lógica negativa. El estado 1 tiene un valor de 5v como máximo y el estado 0
tiene un valor de 0v como mínimo y existiendo un umbral entre estos dos estados
donde el resultado puede variar sin saber con exactitud la salida que nos
entregará. Las lógicas se explican a continuación:
La lógica positiva es aquella que con una señal en alto se acciona, representando
un 1 binario y con una señal en bajo se desactiva. representado un 0 binario.
La lógica negativa proporciona los resultados inversamente, una señal en alto se
representa con un 0 binario y una señal en bajo se representa con un 1 binario.
A continuación vamos a analizar las diferentes operaciones lógicas una por una
comenzando por la más simple:

2
Compuerta AND
Esta compuerta es representada por una multiplicación en el Álgebra de Boole.
Indica que es necesario que en todas sus entradas se tenga un estado binario 1
para que la salida otorgue un 1 binario. En caso contrario de que falte alguna de
sus entradas con este estado o no tenga siquiera una accionada, la salida no
podrá cambiar de estado y permanecerá en 0. Esta puede ser simbolizada por dos
o más interruptores en serie de los cuales todos deben estar activos para que esta
permita el flujo de la corriente.

Compuerta OR
En el Álgebra de Boole esta es una suma. Esta compuerta permite que con
cualquiera de sus entradas que esté en estado binario 1, su salida pasará a un
estado 1 también. No es necesario que todas sus entradas estén accionadas para
conseguir un estado 1 a la salida pero tampoco causa algún inconveniente. Para
lograr un estado 0 a la salida, todas sus entradas deben estar en el mismo valor
de 0. Se puede interpretar como dos interruptores en paralelo, que sin importar
cual se accione, será posible el paso de la corriente.

Compuerta NOT
En este caso esta compuerta solo tiene una entrada y una salida y ésta actúa
como un inversor. Para esta situación en la entrada se colocará un 1 y en la salida
otorgará un 0 y en el caso contrario esta recibirá un 0 y mostrará un 1. Por lo cual
todo lo que llegue a su entrada, será invertido en su salida.

3
Compuerta NAND
También denominada como AND negada, esta compuerta trabaja al contrario de
una AND ya que al no tener entradas en 1 o solamente alguna de ellas, esta
concede un 1 en su salida, pero si esta tiene todas sus entradas en 1 la salida se
presenta con un 0.

Compuerta NOR
Así como vimos anteriormente, la compuerta OR también tiene su versión inversa.
Esta compuerta cuando tiene sus entradas en estado 0 su salida estará en 1, pero
si alguna de sus entradas pasa a un estado 1 sin importar en qué posición, su
salida será un estado 0.

4
Compuerta XOR
También llamada OR exclusiva, esta actúa como una suma binaria de un digito
cada uno y el resultado de la suma seria la salida. Otra manera de verlo es que
con valores de entrada igual el estado de salida es 0 y con valores de entrada
diferente, la salida será 1.

Compuerta XNOR
Esta es todo lo contrario a la compuerta XOR, ya que cuando las entradas sean
iguales se presentará una salida en estado 1 y si son diferentes la salida será un
estado 0.

Material.
CPLD, Cable plano, Cable de alimentación,Protoboard, 8 LEDS de diferente color,
1 Dip switch, cables dupont hembra macho y los cables necesarios.

5
Código del diseño.
-- Giovani Jezrahel Raya Rosales

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_unsigned.ALL;

ENTITY logic_gates IS

PORT (

X : IN STD_LOGIC;

Y : IN STD_LOGIC;

S_AND : OUT STD_LOGIC;

S_NAND : OUT STD_LOGIC;

S_OR : OUT STD_LOGIC;

S_NOR : OUT STD_LOGIC;

S_XOR : OUT STD_LOGIC;

S_XNOR : OUT STD_LOGIC;

S_NOT_X : OUT STD_LOGIC

);

END logic_gates;

ARCHITECTURE Behavioral OF logic_gates IS

BEGIN

S_AND <= X AND Y;

S_NAND <= X NAND Y;

S_OR <= X OR Y;

S_NOR <= X NOR Y;

S_XOR <= X XOR Y;

S_XNOR <= X XNOR Y;

6
S_NOT_X <= NOT x;

END Behavioral;

-- Copyright (C) 1991-2016 Altera Corporation. All rights reserved.

-- Your use of Altera Corporation's design tools, logic functions

-- and other software and tools, and its AMPP partner logic

-- functions, and any output files from any of the foregoing

-- (including device programming or simulation files), and any

-- associated documentation or information are expressly subject

-- to the terms and conditions of the Altera Program License

-- Subscription Agreement, the Altera Quartus Prime License Agreement,

-- the Altera MegaCore Function License Agreement, or other

-- applicable license agreement, including, without limitation,

-- that your use is for the sole purpose of programming logic

-- devices manufactured by Altera and sold by Altera or its

-- authorized distributors. Please refer to the applicable

-- agreement for further details.

--
***************************************************************************

-- This file contains a Vhdl test bench template that is freely editable to

-- suit user's needs .Comments are provided in each section to help the
user

-- fill out necessary details.

--
***************************************************************************

-- Generated on "06/01/2022 01:18:58"

-- Vhdl Test Bench template for design : logic_gates

--

-- Simulation tool : ModelSim-Altera (VHDL)

--

7
LIBRARY ieee;

USE ieee.std_logic_1164.all;

ENTITY logic_gates_vhd_tst IS

END logic_gates_vhd_tst;

ARCHITECTURE logic_gates_arch OF logic_gates_vhd_tst IS

-- constants

-- signals

SIGNAL S_AND : STD_LOGIC;

SIGNAL S_NAND : STD_LOGIC;

SIGNAL S_NOR : STD_LOGIC;

SIGNAL S_NOT_X : STD_LOGIC;

SIGNAL S_OR : STD_LOGIC;

SIGNAL S_XNOR : STD_LOGIC;

SIGNAL S_XOR : STD_LOGIC;

SIGNAL X : STD_LOGIC;

SIGNAL Y : STD_LOGIC;

COMPONENT logic_gates

PORT (

S_AND : OUT STD_LOGIC;

S_NAND : OUT STD_LOGIC;

S_NOR : OUT STD_LOGIC;

S_NOT_X : OUT STD_LOGIC;

S_OR : OUT STD_LOGIC;

S_XNOR : OUT STD_LOGIC;

S_XOR : OUT STD_LOGIC;

X : IN STD_LOGIC;

Y : IN STD_LOGIC

);

END COMPONENT;

BEGIN

8
i1 : logic_gates

PORT MAP (

-- list connections between master ports and signals

S_AND => S_AND,

S_NAND => S_NAND,

S_NOR => S_NOR,

S_NOT_X => S_NOT_X,

S_OR => S_OR,

S_XNOR => S_XNOR,

S_XOR => S_XOR,

X => X,

Y => Y

);

init : PROCESS

-- variable declarations

BEGIN

-- code that executes only once

X<= '0';

Y<= '0';

WAIT FOR 10 ns;

X<= '0';

Y<= '1';

WAIT FOR 10 ns;

X<= '1';

Y<= '0';

WAIT FOR 10 ns;

X<= '1';

Y<= '1';

WAIT FOR 10 ns;

9
WAIT;

END PROCESS init;

always : PROCESS

-- optional sensitivity list

-- ( )

-- variable declarations

BEGIN

-- code executes for every event on sensitivity list

WAIT;

END PROCESS always;

END logic_gates_arch;

10
Imágenes de la simulación y del diseño físico.

11
Conclusiones.
Las compuertas lógicas son los dispositivos electrónicos más sencillos que
existen, pero al mismo tiempo son los más utilizados en la actualidad.
Se obtuvo una visión más clara sobre el comportamiento de cada una de las
compuertas y su aplicación con las tablas de verdad.

La clave para implementar los circuitos electrónicos que cumplan con una función
lógica se encuentra en comprender la simbología y función de las compuertas,
identificar la referencia de los circuitos integrados que poseen las compuertas y
conectar los circuitos de acuerdo a la operación y el datasheet.

Referencias.
test1.mov. (2022). test1.mov. Google Docs.

12
https://drive.google.com/file/d/1u2Evx7ZEzIU4EFmkPfGzca_YJYrqVU0g/view

II, M. (2022). Como configurar QUARTUS II para el manejo de la tarjeta CPLD Altera

MAX II EPM240T100C5.pdf. Google Docs.

https://drive.google.com/file/d/1ux1SvVk9oPYikK-Yu9pyJTr4WmbiFqyN/view

Classroom. (2022). Google.com.

https://classroom.google.com/u/0/c/MzUzNjgwMDQ3NzI2/m/MzUzNjgwMDQ3Nz

de, I. (2022). Instalación de Quartus prime.mp4. Google Docs.

https://drive.google.com/file/d/1XUu7Ds8vZ0O3507EdRceDjYuhHBHFRYd/view

Classroom. (2022). Google.com.

https://classroom.google.com/u/0/c/MzUzNjgwMDQ3NzI2/m/MzUzNjgwMDQ3Nz

Cetina, J. (2019, July). Compuertas Lógicas. Blog Logicbus; Blog Logicbus.

https://www.logicbus.com.mx/blog/compuertas-logicas/

13

También podría gustarte