Está en la página 1de 5

1

Control De Nivel De Un Tanque con


Programación VHDL en FPGA
Ortiz Anderson J, Reyes, Hermes y Yate, Andrea
Yahers9@gmail.com; andra.yate27833@ecci.edu.co; anderson.ortiz19115@ecci.edu.co
Ingeniería Electrónica, Universidad Escuela Colombiana de Carreras Industriales
Bogotá, Colombia

Resumen— Este documento presenta el desarrollo de II. DESARROLLO DE CONTENIDO


un prototipo de una solución a escala de una planta de  Descripción De La Aplicación
llenado de tanques (dosificadora), controlada, utilizando Se procedió a implementar una maqueta de proyecto con
programación VHDL, actuadores (electroválvulas) y materiales del común como dato curioso una jirafa de cerveza
sensores (electrodos de nivel) para controlar los diferentes se reutilizó para nuestro tanque a controlar, el funcionamiento
niveles de llenado atreves de una máquina de estado y sus es a escala pero realiza las tareas de un escenario en un
respectivas válvulas. tamaño real, además posee elementos de supervisión
constantes antes las variables que se quieren controlar, para
Abstrac— This paper presents the development of a logarlos utilizamos los siguientes elementos:
prototype of a solution to a plant scale tank filling  Mangueras festo No. 8
(metering), controlled using VHDL programming,  Racores para mangueras No. 8
actuators (valves) and sensors (electrodes level) to control  2 Electroválvulas 24vdc
different filling levels dare a state machine and their  Tubos en acrílico
respective valves.  Madera
 3 Reles control de nivel omron 110v
 Sensor de conductividad por electrodos de 3 niveles
Palabras Clave: Actuadores, VHDL, Sensores, Maqueta,  1 Fuente omron 110v - 24vdc
FPGA  2 Reles Allen Bradley de pastilla 24vdc
 2 Optoacopladores 4N25
 1 Bomba de pecera 110v
I. INTRODUCCIÓN  FPGA Basys 2
Desde la aparición de la era industrial y la misma revolución  Programación inicialmente VHDL y luego
industrial se evidenció la necesidad de que los trabajos ESCHEMATIC.
rutinarios y de precisión fuesen realizados por máquinas y no
por operarios (de alguna forma automatizados) ya que el modo Los niveles que se van a controlar en el tanque principal son:
tradicional de operación presentaba serios problemas de - Nivel Bajo
capacidad, seguridad y suspensión de la operación o líneas de - Nivel Medio
producción ocasionando pérdidas para las empresas de manera - Nivel Alto
económica y en muchas ocasiones pérdidas humanas. Estos estados dan el conocimiento de que el nivel bajo indica
Durante los últimos años se han diseñado mecanismos que el tanque esa vacío de líquido a contener, nivel medio
robustos que realizan tareas automáticamente. Sin embargo el indica que de todo el volumen del tanque está el líquido a la
ser humano tiene el desafío de ir perfeccionando lo creado y mitad del mismo y el volumen alto indica que el líquido está
mejorarlo cada vez más, optimizando cada día más los en estado crítico de rebose que si no se detiene el llenado
recursos y utilizando tecnología más moderna, pero sin perder puede suceder este estado que comentamos.
su esencia básica, es decir la misma labor con elementos más Para garantizar la reutilización del líquido se adiciono un
pequeños y avanzados, todo esto nos trae a la era digital, motor que pudiese extraer o ingresar agua al sistema para dar
donde encontramos que existen una variedad de elementos y una armonía al mismo y de ahí sale nuestro elemento a
diferentes formas de solucionar el mismo problema. Para controlar llamado actuador que conectándolo a una fuente de
nuestro este caso se pretende controlar el nivel de llenado de voltaje DC y realizando un control de prendido y apagado
un tanque utilizando programación VHDL con una FPGA básico pudiéramos generar el control deseado ante la planta
Basys 2, actuadores, sensores de nivel etc, aplicando los mediante la programación de VHDL y utilizando la tarjeta de
conceptos vistos durante el semestre y en cursos anteriores datos Basys la cual tendrá como elementos de entrada para la
para lograr este objetivo. supervisión constante de la planta y los pines de salida para
verificar y realizar es debido proceso para que se puede
mantener lo deseado en el tanque.

Universidad ECCI JECC 2015


2

Nuestro diseño parte de un motor que suministrara agua o no a


un tanque para conservar los niveles ya descritos en los
niveles bajo y en nivel medio la bomba estará en
funcionamiento en el último nivel cuando los cense la bomba
se detendrá.
Para esto tendremos utilizar dos tanque más para nombrarlo
tanque de reserva, el cual de este tanque tomara el líquido la
bomba y lo llevara hacia el tanque donde queremos controlar,
para verificar los demás estados de funcionamiento tendremos
otro tanque que lo nombraremos como tanque de desagüe que
podría ser utilizado en diferentes acciones.
Para censar de la mejor manera, se utilizó un elemento que
pudiera estar siempre en el agua verificando constantemente el
nivel, para ello se utilizó un sensor de conductividad por
electrodos, la salida de la tarjeta se conecta con un cable hasta
llegar al nivel donde verificamos en nuestra planta cada uno
de los niveles con el sensor, el tanque posee los tres niveles y
dentro del tanque se encuentra el sensor mencionado
anteriormente.
El sensor al entrar en contacto con el agua cierra un circuito y
envía un pulso a la FPGA que a su vez con la programación
realizada permite controlar las válvulas de llenado y vaciado
Ilustración 2 – Actuadores Utilizados
es decir abrir o cerrar, garantizando que no se rebose el tanque
que sería uno de los niveles críticos y que tampoco se
desocupe totalmente que sería el segundo nivel crítico.

 Diseño y elaboración de la Planta a Escala:

Ilustración 3 – Tanque de Control y Sensor


Ilustración 1- Maqueta Final

Universidad ECCI JECC 2015


3

[1]

Ilustración 5 - Sensor por Electrodos


[2]

Principio de Medición:
Cuando el líquido alcanza el nivel determinado por la posición
de los electrodos, el líquido cierra el circuito eléctrico entre los
dos electrodos (o entre la pared del depósito y un electrodo).
Debido al aumento repentino del consumo de corriente se
genera una señal de conmutación.

La conductividad de un medio líquido puede variar


significativamente. Los ácidos, álcalis y soluciones que
contengan agua son conductores y se detectan muy bien. Los
líquidos agresivos pueden detectarse sin problemas utilizan
Ilustración 4 – Electroválvulas sondas hechas de material de alta-resistencia. Los líquidos
combustibles tales como hidrocarburos, aceite y disolventes
son no conductores y no pueden medirse mediante este
Principio de Funcionamiento del Sensor de Nivel por principio de medición.
Electrodos: [3]
Se instalan dos electrodos por encima de la superficie del
líquido conductor a controlar. Si el nivel del líquido sube Programación Utilizada:
hasta el punto en el que ambos electrodos están en contacto -----------------------------------------
con el líquido, se activa la señal de conmutación. -----------------------------------------
La conductividad mínima del líquido debe ser 10 µS/cm. Esta -- Company:
condición la cumplen prácticamente todos los líquidos -- Engineer:
conductores tales como agua, ácidos y álcalis, con excepción --
de los disolventes puros. -- Create Date: 19:31:05 03/11/2015
Si se necesitan varios puntos de conmutación, se deben utilizar -- Design Name:
los electrodos correspondientes. Con el fin de evitar efectos -- Module Name: maquina - Behavioral
de electrólisis en el líquido, se utiliza corriente alterna para la -- Project Name:
medición. Esta corriente la genera un relé de electrodos o un -- Target Devices:
convertidor. -- Tool versions:
Con este método de medición se pueden realizar captaciones -- Description:
de capas separadoras de forma sencilla y a bajo coste. --
Particularmente con separadores de aceite y petróleo, es fácil -- Dependencies:
detectar correctamente el valor límite entre el agua y el líquido --
no conductor. -- Revision:

Universidad ECCI JECC 2015


4

-- Revision 0.01 - File Created


-- Additional Comments: when s3 =>
-- if a = '1' and b =
----------------------------------------- '0' and c= '0' then
----------------------------------------- nextstate <= s2;
library IEEE; end if;
use IEEE.STD_LOGIC_1164.ALL;
end case;
-- Uncomment the following library end process;
declaration if using
-- arithmetic functions with Signed or ffs: process (clk,reset)
Unsigned values begin
--use IEEE.NUMERIC_STD.ALL; if reset = '1' then
currentstate <= s1;
-- Uncomment the following library elsif clk'event and clk ='1' then
declaration if instantiating currentstate <= nextstate;
-- any Xilinx primitives in this code. end if;
--library UNISIM; end process;
--use UNISIM.VComponents.all;
output: process (currentstate)
entity maquina is begin
Port ( a : in STD_LOGIC;--sensor case currentstate is
nivel bajo
b : in STD_LOGIC;--sensor when s1 =>
nivel medio y <= '0';
c : in STD_LOGIC;-- x <= '0';
sensor nivel alto when s2 =>
clk, reset : in y <= '1';
std_logic; x <= '0';
y : out STD_LOGIC; --válvula when s3 =>
llenado y <= '0';
x : out STD_LOGIC);-- x <= '1';
válvula vaciado
end maquina; end case;
end process;
architecture Behavioral of maquina is
type state is (s1,s2,s3); end Behavioral;
signal nextstate, currentstate: state;

begin III. CONCLUSIONES


 Se aplicaron los conceptos vistos durante el semestre y se
siguiente : process (a, b, c,
currentstate) comprobó que es posible controlar variables de diferentes
formas utilizando diferentes elementos de control, para
begin este caso la FPGA con programación combinada VHDL y
case currentstate is SCHEMATIC.
 Se pudo comprobar que este tipo de control se puede
when s1 => utilizar en aplicaciones a nivel industrial, garantizando y
if a = '0' and b = '0' automatizando procesos críticos para las empresas.
and c= '0' then  Para el acoplamiento de señales se hizo necesario
nextstate <= s2; investigar más a fondo para poder conectar los dos
elsif a = '1' and b = mundos, el control y la forma en que salen y entran las
'1' and c= '1' then señales de la FPGA.
nextstate <= s3;  No se hizo un control para la motobomba, para una fase
end if; más avanzada se pudiera incluir, para este caso no se hizo
ya que esto involucraría una etapa de censado y
when s2 => programación adicional.
if a = '1' and b =
'1' and c= '1' then
nextstate <= s1;
end if;
Universidad ECCI JECC 2015
5

hidroeléctrica de Chivor, Diaco, Alfagres, actualmente


trabaja como electricista de mantenimiento y
IV. REFERENCIAS automatización en la empresa Alpina S.A. en el área de
automatización industrial enfocado a programación de plcs
[1] SITRON, Installation, Operation and Maintenance, EL para máquinas y equipos en la industria alimenticia, cursa
Series Conductive Level, PDF actualmente noveno semestre de Ingeniería Electrónica en
la universidad ECCI.
[2]
http://www.ccg.com.ar/PDF/Folletos/Nivel/DETECTOR_NIV
EL_CONDUCTIVO.pdf

[3]
https://www.google.com.co/search?q=sensor+de+nivel+con+e
lectrodo&oq=sensor+de+nivel+con+electrodo&aqs=chrome..
69i57j0l5.7619j0j7&sourceid=chrome&es_sm=122&ie=UTF-
8

V. AUTORES
C. Anderson Joel Ortiz Valbuena
nacido en Bucaramanga (Santander) Colombia, el 13 de
Octubre de 1981, se graduó como Tecnólogo en Electrónica
en el año 2014 de la Universidad ECCI, actualmente se
desempeña como Gerente de Producto Rackspace en
Licencias Online, Mayorista de Software en la Región,
aspira graduarse como Ingeniero Electrónico en el 2016 y
especializarse en Seguridad Electrónica.

A. Andrea Johana Yate nacida en


Ortega (Tolima), Colombia, el 24 de Mayo de 1.981, se
graduó como Tecnóloga en Electrónica de la Universidad
Distrital en Noviembre del 2013, a nivel profesional se
desempeña como coordinadora de técnicos de campo en
IBOPE COLOMBIA, apoyando la operación de rating de
televisión a nivel nacional, espera graduarse como
Ingeniera Electrónica de la Universidad ECCI el próximo
año (2016).

B. Hermes Yamid Reyes Hermes,


nacimiento 20 Julio 1985 Duitama, Boyacá, egresado del
SENA regional Sogamoso en el año 2003 como Técnico
Profesional en electricidad Industrial, experiencia en la
profesión 12 años, en industrias como la central

Universidad ECCI JECC 2015

También podría gustarte