Documentos de Académico
Documentos de Profesional
Documentos de Cultura
NACIONAL
Arquitectura de computadoras
Integrantes:
3CV12
1
Ripple carry adder
2
cin : in STD_LOGIC;
s : out STD_LOGIC_VECTOR (n-1 downto 0);
cout : out STD_LOGIC);
end carry_ripple_Adder;
architecture Behavioral of carry_ripple_Adder is
Simulación
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY tb_carry_ripple_Adder IS
END tb_carry_ripple_Adder;
END COMPONENT;
--Inputs
signal a : std_logic_vector(3 downto 0) := (others => '0');
signal b : std_logic_vector(3 downto 0) := (others => '0');
signal cin : std_logic := '0';
--Outputs
signal s : std_logic_vector(3 downto 0);
signal cout : std_logic;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: carry_ripple_Adder PORT MAP (
a => a,
3
b => b,
cin => cin,
s => s,
cout => cout
);
stim_proc: process
begin
a <= "0000";
b <= "0000";
cin <= '0';
-- hold reset state for 100 ns.
wait for 100 ns;
a <= "0001";
b <= "0001";
wait for 100 ns;
a <= "0010";
b <= "0010";
wait for 100 ns;
a <= "0100";
b <= "0100";
wait for 100 ns;
a <= "0100";
b <= "0100";
cin <= '1';
4
Ilustración 3 RTL del sumador Ripple carry adder.
5
Entonces se puede observar que en cuestión de funcionamiento la
implementación y funcionamiento de nuestro sumador es correcta. Ahora
continuamos con una análisis o comparación de tiempos.
• Carry Look Ahead Adder es una versión mejorada del ripple carry
sumador.
• Genera el arrastre de cada sumador completo simultáneamente sin causar
ningún retraso.
• La complejidad temporal del sumador anticipado de acarreo = Θ (logn).
Conclusión