Está en la página 1de 38

UNIVERSIDAD NACIONAL

DEL CALLAO
FACULTAD DE INGENIERIA ELECTRICA Y
ELECTRONICA
ESCUELA PROFESIONAL DE INGENIERIA
ELECTRONICA
LABORATORIO DE SISTEMAS DIGITALES

CONTADORES
Integrantes:
CUYA SOLARI OMAR ANTONIO 072638B
oacs_198@hotmail.com

QUISPE AYUQUE LUIS


072635C
varo__@hotmail.com

ROBLES PALOMINO ALEXANDER 070607B


alex_el7489@hotmail.com

Grupo Horario: 91G

Profesor:
UTRILLA SALAZAR, DARÍO

2010
UNIVERSIDAD NACIONAL DEL CALLAO
FACULTAD DE INGENIERIA ELECTRICA Y 
ELECTRONICA
ESCUELA PROFESIONAL DE INGENIERIA
ELECTRONICA
LABORATORIO 2 DE SISTEMAS DIGITALES
TEMA : CONTADORES
PROFESOR : ING. DARIO UTRILLA SALAZAR

I. INTR
INTROD
ODUC
UCCI
CIÓN
ÓN

En el presen
presente
te labora
laborator
torio,
io, se desarr
desarroll
olla
a el anális
análisis
is funcio
funcional
nal de los circui
circuitos
tos
secuenciales desarrollados con los biestables (Latch y Flip Flops); que permiten
obtener secuencias de estados binarios que al ser decodificados nos permiten
obtene
obtenerr una sucesi
sucesión
ón de estad
estados
os ascend
ascendent
ente,
e, descen
descenden
dente
te y/o desord
desordena
enado
do
(escalado
(escalador)r) pero periódico,
periódico, establecien
estableciendo
do el módulo del contador,
contador, permitiend
permitiendoo
además establecer funciones de almacenamiento de pulsos recibidos por el sistema
digital (proceso de conteo) y relacionar con la temporización de eventos del sistema
digital de lógica cableada.

II. OBJ
OBJETI
ETIVOS
VOS

1. OBJETIVOS GENERALES

➢ Analizar e Implementar diversos circuitos secuenciales asíncronos y


síncronos, relacionados con la generación de estados ascendentes,
descendentes y/o escaladores; implementados con los Flip Flops.
➢ La visualización del funcionamiento de cada una de los circuitos de
contadores son implementados utilizando dispositivos display y/o
diodos leds en las salidas.
➢ Implementar circuitos básicos con IC TTL y CMOS.
➢ Adquirir destreza para el montaje y cableado de circuitos digitales
en el prothoboard. y/o en circuito impreso.
➢ Que el estudiante aprenda utilizar los principios básicos para el
análisis de circuitos digitales secuenciales mediante simuladores y
que tenga la capacidad de realizar la detección de fallos, corregirlos
y comprobar su buen funcionamiento.

2. OBJETIVOS ESPECIFICOS
➢ Para
Para cada
cada circui
circuito
to y/o dispos
dispositi
itivo
vo integr
integrado
ado consid
considera
erado
do en el
labo
labora
rato
torio
rio.. Busc
Buscar
ar las
las refe
refere
renc
ncia
iass corr
corres
espo
pond
ndie
ient
ntes
es en los
los
manuales técnicos adecuados y/o internet.
➢ Se anal
analiz
izar
ara
a la oper
operac
ació
ión
n de los
los circ
circui
uito
toss secu
secuenenci
cial
ales
es para
para
dete
determ
rmin
inar
ar su resp
respue
uest
sta
a en el tiem
tiempo
po (des
(desararro
roll
llo
o de Tabl
Tabla
a de
estados y construcción del diagrama de tiempo).
FACULTAD DE INGENIERIA ELECTRICA Y 
ELECTRONICA
ESCUELA PROFESIONAL DE INGENIERIA
ELECTRONICA
LABORATORIO 2 DE SISTEMAS DIGITALES
TEMA : CONTADORES
PROFESOR : ING. DARIO UTRILLA SALAZAR

I. INTR
INTROD
ODUC
UCCI
CIÓN
ÓN

En el presen
presente
te labora
laborator
torio,
io, se desarr
desarroll
olla
a el anális
análisis
is funcio
funcional
nal de los circui
circuitos
tos
secuenciales desarrollados con los biestables (Latch y Flip Flops); que permiten
obtener secuencias de estados binarios que al ser decodificados nos permiten
obtene
obtenerr una sucesi
sucesión
ón de estad
estados
os ascend
ascendent
ente,
e, descen
descenden
dente
te y/o desord
desordena
enado
do
(escalado
(escalador)r) pero periódico,
periódico, establecien
estableciendo
do el módulo del contador,
contador, permitiend
permitiendoo
además establecer funciones de almacenamiento de pulsos recibidos por el sistema
digital (proceso de conteo) y relacionar con la temporización de eventos del sistema
digital de lógica cableada.

II. OBJ
OBJETI
ETIVOS
VOS

1. OBJETIVOS GENERALES

➢ Analizar e Implementar diversos circuitos secuenciales asíncronos y


síncronos, relacionados con la generación de estados ascendentes,
descendentes y/o escaladores; implementados con los Flip Flops.
➢ La visualización del funcionamiento de cada una de los circuitos de
contadores son implementados utilizando dispositivos display y/o
diodos leds en las salidas.
➢ Implementar circuitos básicos con IC TTL y CMOS.
➢ Adquirir destreza para el montaje y cableado de circuitos digitales
en el prothoboard. y/o en circuito impreso.
➢ Que el estudiante aprenda utilizar los principios básicos para el
análisis de circuitos digitales secuenciales mediante simuladores y
que tenga la capacidad de realizar la detección de fallos, corregirlos
y comprobar su buen funcionamiento.

2. OBJETIVOS ESPECIFICOS
➢ Para
Para cada
cada circui
circuito
to y/o dispos
dispositi
itivo
vo integr
integrado
ado consid
considera
erado
do en el
labo
labora
rato
torio
rio.. Busc
Buscar
ar las
las refe
refere
renc
ncia
iass corr
corres
espo
pond
ndie
ient
ntes
es en los
los
manuales técnicos adecuados y/o internet.
➢ Se anal
analiz
izar
ara
a la oper
operac
ació
ión
n de los
los circ
circui
uito
toss secu
secuenenci
cial
ales
es para
para
dete
determ
rmin
inar
ar su resp
respue
uest
sta
a en el tiem
tiempo
po (des
(desararro
roll
llo
o de Tabl
Tabla
a de
estados y construcción del diagrama de tiempo).
➢ Impl
mplemen
ementa tarr cada
ada circ
circu
uito
ito en proprotho
thoboard
oard,, anali
naliza
zarr su
funcionamiento y luego comprobar el funcionamiento de cada uno
de ellos; utilizando visualizadores led para las señales de salidas..
I. RESUMEN

El experimento consta de circuitos secuenciales que desarrollan las funciones de


cont
contadador
ores
es,, por
por lo que
que se debe
debe aten
atende
derr espe
especi
cial
al aten
atenci
ción
ón de su análanális
isis
is,,
funcionamiento, operación de los circuitos y los resultados obtenidos (respuesta
de funcionam
funcionamiento
iento del circuito,
circuito, diagramas
diagramas de tiempo).
tiempo). Por lo que se recomienda
recomienda
efectu
efectuarar las consul
consultas
tas previa
previass en los apunte
apuntess de clases
clases,, manual
manualeses técnic
técnicos
os
adecuados en relación a los dispositivos a emplear y los circuitos digitales a
implementa
implementar. r. Por ultimo se implementa
implementa el circuito con los circuitos
circuitos integrados
integrados
real
realiz
izan
ando
do conj
conjun
unta
tame
ment
ntee prue
prueba
bass indi
indivi
vidu
dual
ales
es de su funcfuncioiona
nami
mien
ento
to y al
terminar dicho proceso
proceso se procede
procede a hacer laslas verificaciones y desarrollo de las
tablas de estados y construir los diagramas de tiempo.

II. MARCO
MARCO TEORIC
TEORICO
O
CONTADORES DIGITALES

En casi todos los tipos de equipo digital se encuentran flip-flops programados o


conectados como contadores, usándose no solamente como contadores sino como
equipo para dar la secuencia de operación, división de frecuencias, así como para
manipulación matemática.
En el sent
sentid
ido
o más
más elem
elemen
enta
tal,
l, los
los cont
contad
ador
ores
es son
son sist
sistem
emas
as de memo
memori
ria
a que
que
“recuerdan” cuántos pulsos de reloj han sido aplicados en la entrada. La secuencia
en que esta información se almacena depende de las condiciones de la aplicación y
del criterio del diseñador de equipo lógico. Muchos de los contadores más comunes
se encuentran disponibles en paquetes de circuitos integrados.

a) CONTADORES ASÍNCRONOS (TIPO RIZADO)


El contador tipo rizado es un contador básico comúnmente implementado
con circuitos integrados. De todos los contadores éste es el más sencillo en
lógica y, por lo tanto, el de diseño más fácil, sin embargo este contador está
limita
limitado
do por su veloci
velocidad
dad de operac
operación
ión.. Puesto
Puesto que los flip-flo
flip-flops
ps en el
contador tipo rizado no están bajo el mando de un solo pulso de reloj, este
contador es asincrónico.

FIGURA Nº1
ESTRUCTURA DEL CONTADOR ASÍNCRONO
En la figura anterior se muestra un contador binario tipo rizado de 4 dígitos.
Inicialmente todos los flip-flops están en el estado lógico 0 (QA = QB = QC = QD
=0). Se aplica un pulso de reloj en la entrada de reloj del flip-flop A causando que
QA cambie de 0 lógico a 1 lógico, el flip-flop B no cambia de estado, ya que es
disparado por la transición negativa del pulso, o sea, cuando la entrada de reloj
cambie de 1 lógico a 0 lógico. Con la llegada del pulso del reloj al flip-flop A, QA
cambia de 1 a 0; este cambio de estado crea la transición negativa del pulso
necesaria para disparar el flip-flop B y, por lo tanto, QB cambia de 0 a 1. Antes de la
llegada del decimosexto pulso del reloj todos los Flip Flops están en el estado 1, y el
pulso número 16 causa que QA, QB, QC y QD cambien a 0 lógico.

FIGURA Nº2
DIAGRAMA DE TIEMPOS

El contador binario de 4 dígitos repite el ciclo cada 2n (n = número de flip flops)


pulsos de reloj. Este contador establece la secuencia en un sistema de números de
base 16 y tiene 16 estados discretos que van desde 0 hasta N-1. Los 16 estados
binarios se muestran en la tabla siguiente:
 TABLA Nº1
 TABLA DE ESTADOS DE CONTADORES ASÍNCRONOS
La frecuencia máxima del reloj para un contador es dada por:
1/f <= N (Tp) + Ts
N = número de etapas de flip-flops.
 Tp= tiempo de propagación de un flip-flop.
 Ts = tiempo de compuerta, ancho del pulso de la salida decodificada.
Suponiendo que cada flip-flop del contador mostrado en la figura 1 tiene un pulso
de propagación de 50ns, se requieren entonces 200 ns, para que el contador
cambie de 1111 a 0000, y si la decodificación de un estado requiere 100 ns,
entonces:
1/f >= 4(50) + 100 = 300 ns
f <= 3.67 Mhz
El flip-flop A en el contador de la figura 1, cambia de estado con cada pulso de reloj,
por lo que divide entre 2 la frecuencia del reloj de entrada. El flip-flop B cambia de
estado con cada dos pulsos de reloj, dividiendo la frecuencia entre 4. Un contador
de 4 etapas puede usarse para dividir entre 16 (2n, N = número de flip-flops), se
pueden agregar más etapas si se requiere dividir entre una potencia de 2 más alta.
Para dividir entre cualquier entero, se puede usar el siguiente método:
Encontrar el número n de flip-flops requeridos:
2n-1 <= N <= 2n
Donde:
N = longitud del ciclo del contador. Si N no es una potencia de 2, usar la siguiente
potencia superior de 2.
2. Conectar todos los flip-flops como contador tipo rizado.
3. Encuentre el número binario N – 1.
4. Conecte todas las salidas de los flip-flops que son 1 en la cuenta N-1 como
entradas en el bloque NAND. También conecte el pulso de reloj al bloque NAND.
5. Conecte la salida del bloque NAND a las entradas de preenergizado (clear) de
todos los flip-flops para los cuales Q = 0 en la cuenta N-1.
FIGURA Nº3

El contador se restablece de la siguiente manera: En la transición positiva del pulso


N de reloj, todos los flip-flops tienen el valor de 1 lógico y en la parte final del
mismo pulso o sea en la parte de transición negativa, todos los flip-flops cuentan
para el estado 0, es decir, que el contador se restablece y empieza de nuevo el
ciclo. Para N = 10:
· 23 <= 10 <= 24. Entonces, se requieren 4 flip-flops.
· N = 10; 1010
· N – 1 = 9 : 1001
· Conecte como se muestra en la Figura anterior.
Cada flip-flop en un contador, como el de la Figura, tiene un peso o valor decimal
específico asignado. El flip-flop A tiene un peso de 20 (1), cuando su salida está en
1 lógico. El flip-flop B tiene un peso de 21 (2), C tiene un peso de 22 (4), y D tiene
un peso de 23 (8). El número almacenado en el contador en cualquier tiempo
específico se puede determinar por la suma de los pesos decimales de los flip-flops
que tengan valor de 1 lógico.
Un contador que cuenta en forma binaria estándar y recicla cada 10 pulsos, es
referido como contador BCD 8-4-2-1 (binary- code decimal). En muchos paquetes de
contadores en circuitos integrados, las líneas de preenergizado mostradas en la
Figura, no existen; sólo se dispone de una línea de borrado común (reset).
b) CONTADORES SÍNCRONOS

El contador sincrónico elimina los retrasos acumulativos de los flip-flops que se


vieron en los contadores tipo rizado. Todos los flip-flops en el contador sincrónico
están bajo el control del mismo pulso de reloj. La velocidad de repetición está
limitada sólo por el retraso de uno de los flip-flops, más el retraso introducido por
los bloques de control. El diseño de contadores sincrónicos para cualquier base
numérica diferente de alguna potencia de 2 se dificulta más que los contadores tipo
rizado, pero el diseño se simplifica mediante el uso de la técnica de mapas de
Karnaugh.
En la Figura 4, muestra un contador sincrónico de 4 dígitos binarios con cargo en
paralelo. El cargo en paralelo, también conocido como “cargo adelantado” es el
más rápido de los dos métodos de control de flip-flops. De acuerdo con la tabla de
estados, el flip-flop A se requiere que cambie de estado con la ocurrencia de cada
pulso de reloj, el flip-flop B cambia cuando QA = 1; C cambia de estado cuando QA
= Qn = 1, y D cambia de estado cuando QA = QB = QC = 1. El control del flip flop
A se puede lograr mediante la conexión de JA y KA a un 1 lógico; el control del flip-
flop B se logra con la conexión de JB y KB a QA; el control del flip-flop C se logra
mediante la salida invertida de un bloque NAND de 2 entradas, cuyas entradas son
QA y QB. El flip-flop D se controla en la misma forma que C, excepto que las
entradas del bloque NAND son QA, QB y QC.

FIGURA Nº4
ESTRUCTURA DEL CONTADOR SÍNCRONO
Se pueden diseñar contadores sincrónicos para conteo binario con una longitud de
ciclo 2n una vez que se ha visto el patrón de la lógica de control; para ciclos de
longitud diferente de 2n, la lógica de control algunas veces puede llegar a hacerse
un tanto confusa y ésta es la razón por la que las matrices de control (mapas de
Karnaugh) tienen que dibujarse para cada uno de los flip-flops. En la tabla se
presentan las matrices de control para el contador sincrónico de 4 dígitos binarios
de la Figura 4.
 TABLA Nº2
 TABLA DE ESTADOS DE CONTADORES SÍNCRONOS
c) OTRO TIPO DE CONTADORES SON

➢ Contadores de Anillo
➢ Contadores Jonson
➢ Contadores de décadas
➢ Contadores Ascendente / Descendente

V. PARA EL INFORME PREVIO.


1. Definir el concepto de contador digital, analice su funcionamiento y
mencione los tipos característicos de los contadores según la
sincronización con la señal de reloj (Clock) y analizar cada uno de ellos
(Los circuitos y sus características, tablas de estados y diagramas de
tiempo).

2. Describir las características específicas de los contadores: Contadores


asíncronos, síncronos. y sus aplicaciones.

3. De los manuales técnicos obtener los IC TTL y CMOS que cumplen con
las funciones de contadores, analice brevemente las tablas de verdad,
diagramas de tiempo. Y funcionamiento.

4. Cual es la diferencia entre un contador asíncrono y un contador


síncrono; así como la diferencia entre un contador convencional y un
contador escalador; muestre circuitos prácticos para explicarlos.

5. Diseñar un contador asíncrono utilizando FF´s de tipo JK, de modulo 16,


12, 10, 6.

a) CONTADOR ASÍNRONO DE MODULO 16:


Para diseñar el contador asíncrono debemos tener en cuenta que cada salida
del flip flop es la entrada del clock del siguiente FF. A excepción del primero
y del último como se muestra en la figura Nº5.

Colocamos las entradas J y K en estado “1” lógico, y de acuerdo a la tabla de


verdad de los FF JK colocamos el Pr a fuente y el Clear al circuito adjunto,
puesto que esto permitirá iniciar en modo Borrado con salidas “0”.

 TABLA Nº3
 TABLA DE VERDAD DEL FF JK 

El esquema del contador asíncrono de módulo 16 se muestra a continuación:

     2 Q1      2 Q2      2 Q3      2 Q4

4      S 15 4      S 15 4      S 15 4      S 15


J Q J Q J Q J Q

1 1 1 1
CLK CLK CLK CLK

16 14 16 14 16 14 16 14
K      R Q K      R Q K      R Q K      R Q

     3 74LS76
     3 74LS76
     3 74LS76
     3 74LS76

R4
10k

R1 R2 R3 R5
220 220 220 220

C2
1u

Q4 Q3 Q2 Q1
LED-GREEN LED-GREEN LED-GREEN LED-GREEN

FIGURA Nº5
REPRESENTACIÓN DEL NÚMERO CERO
FIGURA Nº6
REPRESENTACIÓN DEL NÚMERO QUINCE

b) CONTADOR ASÍNCRONO DE MODULO 12

Para diseñar este contador asíncrono debemos tener en cuenta (al igual que
el circuito anterior) que cada salida del flip flop es la entrada del clock del
siguiente FF. A excepción del primero y del último como se muestra en la
figura Nº7.

Colocamos las entradas J y K en estado “1” lógico, y de acuerdo a la tabla de


verdad de los FF JK colocamos el Pr a fuente. (La tabla de verdad se muestra
en la Tabla Nº3).

Cabe mencionar que para que sea de modulo 12 se ha diseño un circuito


combinacional que permite reiniciar luego de que ocurran 12 pulsos,
entendiéndose por esto que se muestren las representaciones del número 0
hasta la del número 11

La idea fundamental de este circuito combinacional es que se pretende


reiniciar el contador cuando se muestre el número 12. Para ello debemos
saber que este número se representa de manera binaria como un 1100; lo
que se hace es negar ambos ceros y multiplicar todas las cifras a través de
una compuerta AND, luego se niega esta salida y a su vez se multiplica con
la salida del pequeño circuito compuesto por el capacitor; precisamente esta
se convierte en la nueva salida de la compuerta AND la cual ingresa al Cl del
Flip Flop.
El esquema del contador asíncrono de módulo 12 se muestra a continuación:

U2:C
5 6
U2:B
1 U1:A
7404
3 4 2
6
4
7404
5
74LS21

      1

U2:A
7404
      2

      2
U:1       2
U:2       2
U:3       2
U:4

4       S 15 4       S 15 4       S 15 4       S 15


J Q J Q J Q J Q

1 1 1 1
CLK CLK CLK CLK

16 14 16 14 16 14 16 14
K       R Q K       R Q K       R Q K       R Q

      3       3       3       3


74LS76 74LS76 74LS76 74LS76

R4
U4:A
10k
2
3
1
R1 R2 R3 R5
220 220 220 220 7408

Q4 Q3 Q2 Q1 C2
LED-GREEN LED-GREEN LED-GREEN LED-GREEN 1u

FIGURA Nº7
REPRESENTACIÓN DEL NÚMERO CERO
FIGURA Nº8
REPRESENTACIÓN DEL NÚMERO ONCE
c) CONTADOR ASÑINCRONO DE MODULO 10

Para diseñar este contador asíncrono debemos tener en cuenta (al igual que
los circuitos anteriores) que cada salida del flip flop es la entrada del clock
del siguiente FF. A excepción del primero y del último como se muestra en la
figura Nº9.

Colocamos las entradas J y K en estado “1” lógico, y de acuerdo a la tabla de


verdad de los FF JK colocamos el Pr a fuente. (La tabla de verdad se muestra
en la Tabla Nº3).

Cabe mencionar que para que sea de modulo 10 se ha diseño un circuito


combinacional que permite reiniciar luego de que ocurran 10 pulsos,
entendiéndose por esto que se muestren las representaciones del número 0
hasta la del número 9.

La idea fundamental de este circuito combinacional es que se pretende


reiniciar el contador cuando se muestre el número 10. Para ello debemos
saber que este número se representa de manera binaria como un 1010; lo
que se hace es negar ambos ceros y multiplicar todas las cifras a través de
una compuerta AND, luego se niega esta salida y a su vez se multiplica con
la salida del pequeño circuito compuesto por el capacitor; precisamente esta
se convierte en la nueva salida de la compuerta AND la cual ingresa al Cl del
Flip Flop.

U2:C
5 6

1 U1:A
7404
U2:B 2
6
3 4 4
5
74LS21
7404

      1

U2:A
7404
      2

      2
U:1       2
U:2       2
U:3       2
U:4

4       S 15 4       S 15 4       S 15 4       S 15


J Q J Q J Q J Q

1 1 1 1
CLK CLK CLK CLK

16 14 16 14 16 14 16 14
K       R Q K       R Q K       R Q K       R Q

      3       3       3       3


74LS76 74LS76 74LS76 74LS76

R4
U4:A
10k
2
3
1
R1 R2 R3 R5
7408
220 220 220 220

Q4 Q3 Q2 Q1 C2
LED-GREEN LED-GREEN LED-GREEN LED-GREEN 1u

FIGURA Nº9
REPRESENTACIÓN DEL NÚMERO CERO
FIGURA Nº10
REPRESENTACIÓN DEL NÚMERO NUEVE

d) CONTADOR ASÍNCRONO DE MODULO 6


Para diseñar este contador asíncrono debemos tener en cuenta (al igual que
los circuitos anteriores) que cada salida del flip flop es la entrada del clock
del siguiente FF. A excepción del primero y del último como se muestra en la
figura Nº11. Además y a diferencia de los anteriores sólo necesitamos
emplear 3 Flip Flops y no 4 ya que es de modulo 6.

Colocamos las entradas J y K en estado “1” lógico, y de acuerdo a la tabla de


verdad de los FF JK colocamos el Pr a fuente. (La tabla de verdad se muestra
en la Tabla Nº3).

Cabe mencionar que para que sea de modulo 6 se ha diseño un circuito


combinacional que permite reiniciar luego de que ocurran 6 pulsos,
entendiéndose por esto que se muestren las representaciones del número 0
hasta la del número 5.

La idea fundamental de este circuito combinacional es que se pretende


reiniciar el contador cuando se muestre el número 6. Para ello debemos
saber que este número se representa de manera binaria como un 110; lo
que se hace es negar el cero y multiplicar todas las cifras a través de una
compuerta AND, luego se niega esta salida y a su vez se multiplica con la
salida del pequeño circuito compuesto por el capacitor; precisamente esta
se convierte en la nueva salida de la compuerta AND la cual ingresa al Cl del
Flip Flop.
U2:C
5 6
U1:A
1
7404
2 12
13

7411

      1

U2:A
7404
      2

      2
U:1       2
U:2       2
U:3

4       S 15 4       S 15 4       S 15


J Q J Q J Q

1 1 1
CLK CLK CLK

16 14 16 14 16 14
K       R Q K       R Q K       R Q

      3       3       3


74LS76 74LS76 74LS76

R4
U4:A
10k
2
3
1
R2 R3 R5
220 220 220 7408

Q3 Q2 Q1 C2
LED-GREEN LED-GREEN LED-GREEN 1u

FIGURA Nº11
REPRESENTACIÓN DEL NÚMERO CERO

FIGURA Nº12
REPRESENTACIÓN DEL NÚMERO CINCO
6. Diseñar un contador descendente modulo 13, utilizando FF´s tipo D.
Realizaremos la implementación de este circuito empleando flip flops tipo D. Para
ello primero tenemos que tomar en cuenta algunos aspectos como la tabla de
habilitación del FF tipo D y a su vez elaborar una tabla de estados. Indicaremos
también que empleamos 4 FF. En primer lugar identificamos la tabla de verdad del
FF tipo D:
 TABLA Nº4
 TABLA DE VERDAD DEL FF D

A partir de esta tabla realizamos la tabla de habilitación:

 TABLA Nº5
 TABLA DE HABILITACION DEL FF D
Q
Qn n+1 D
0 0 0
0 1 1
1 0 0
1 1 1

Una vez realizado este paso, realizaremos el circuito implementándolo acorde a la


siguiente tabla de estados en la cual queda demostrado que se trata de un
Contador Síncrono Descendente de Modulo 13.
 TABLA Nº6
 TABLA DE ESTADOS DEL CONTADOR DESCENDENTE MODULO 13
EST. Q4n Q3n Q2n Qn D4 D3 D2 D1
12 1 1 0 0 1 0 1 1
11 1 0 1 1 1 0 1 0
10 1 0 1 0 1 0 0 1
9 1 0 0 1 1 0 0 0
8 1 0 0 0 0 1 1 1
7 0 1 1 1 0 1 1 0
6 0 1 1 0 0 1 0 1
5 0 1 0 1 0 1 0 0
4 0 1 0 0 0 0 1 1
3 0 0 1 1 0 0 1 0
2 0 0 1 0 0 0 0 1
1 0 0 0 1 0 0 0 0
0 0 0 0 0 1 1 0 0
Observando esto realizamos para cada salida su respectivo mapa de Karnaugh:

D4=Q4n.Qn+Q2n+Q3n+Q4nQ3nQ2nQn
D3=Q3nQ2n+Qn+Q3nQ2nQn

D2=QnQ2n+QnQ2n(Q3n+Q4n) D1=Qn(Q2n+Q4n+Q3n)

Luego de este análisis realizamos la respectiva implementación mostrada en la


figura Nº13:

U6:B
5
U5:A 6
13 U5:B 4
12 2 5
1 6 4 7432
3
7411
7411
U4:B U4:C
5       0
      1       9 10 U6:D
6 8 13
4 U6:C 9 11
      2       1 12
7432
7408 7408
U6:A 7432

7432

      4
U1:A       0
      1
U1:B       8
      4
U2:A       0
      1
U2:B

2       S 5 12       S 9 2       S 5 12       S 9


D Q       3 D Q D Q D Q

3 11 3 11
CLK CLK CLK CLK

6 8 6       3 8
      R Q       R Q       R Q       R Q

      1       3       1


U7:A       3
7474       1 7474 7474       1 7474
7432

U4:A
2 U3:A             1 2

3 8
1 9 2
1
7408 U4:D
4075 U3:B 12
3 11
4 6 13
5 R4
7408
10k
4075 1 U9:A
2
6
4
5
R1 R2 R3 R5 74HC21

220 220 220 220 C2


1u

D1 D2 D3 D4
LED-YELLOW LED-YELLOW LED-YELLOW LED-YELLOW
FIGURA Nº13
REPRESENTACIÓN DEL NÚMERO CERO

U6:B
5
U5:A 6
13 U5:B 4
12 2 5
1 6 4 7432
3
7411
7411
U4:B U4:C
5       0
      1       9 10 U6:D
6 8 13
4 U6:C 9 11
      2       1 12
7432
7408 7408
U6:A 7432

7432

      4
U1:A       0
      1
U1:B       8
      4
U2:A       0
      1
U2:B

2       S 5 12       S 9 2       S 5 12       S 9


D Q       3 D Q D Q D Q

3 11 3 11
CLK CLK CLK CLK

6 8 6       3 8
      R Q       R Q       R Q       R Q

      1       3       1


U7:A       3
7474       1 7474 7474       1 7474
7432

U4:A
2 U3:A             1 2

3 8
1 9 2
1
7408 U4:D
4075 U3:B 12
3 11
4 6 13
5 R4
7408
10k
4075 1 U9:A
2
6
4
5
R1 R2 R3 R5 74HC21

220 220 220 220 C2


1u

D1 D2 D3 D4
LED-YELLOW LED-YELLOW LED-YELLOW LED-YELLOW

FIGURA Nº14
REPRESENTACIÓN DEL NÚMERO DOCE

7. Diseñar un contador UP/DOWN modulo 10; utilizando FF´s de tipo JK y


tipo D.

A) Empleando FF’s tipo D:


Realizaremos la implementación de este circuito empleando flip flops tipo D. Para
ello primero tenemos que tomar en cuenta algunos aspectos como la tabla de
habilitación del FF tipo D y a su vez elaborar una tabla de estados. Indicaremos
también que empleamos 4 FF. En primer lugar identificamos la tabla de verdad del
FF tipo D que figura paginas anteriores precisamente es la Tabla Nº4. Gracias a ello
elaboramos precisamente su tabla de habilitación:

 TABLA Nº7
 TABLA DE HABILITACION DEL FF D
Q
Qn n+1 D
0 0 0
0 1 1
1 0 0
1 1 1

Una vez realizado este paso, tomaremos en cuenta que realizaremos el proceso por
dos partes: primero elaboraremos una tabla de estados para un contador UP
modulo 10 y luego el contador DOWN modulo 10 también, para finalmente
unificarlos.

Realizaremos el circuito UP implementándolo acorde a la siguiente tabla de


estados:

 TABLA Nº8
 TABLA DE ESTADOS DEL CONTADOR UP MODULO 10

EST. Q4n Q3n Q2n Qn D4 D3 D2 D1


0 0 0 0 0 0 0 0 1
1 0 0 0 1 0 0 1 0
2 0 0 1 0 0 0 1 1
3 0 0 1 1 0 1 0 0
4 0 1 0 0 0 1 0 1
5 0 1 0 1 0 1 1 0
6 0 1 1 0 0 1 1 1
7 0 1 1 1 1 0 0 0
8 1 0 0 0 1 0 0 1
9 1 0 0 1 0 0 0 0

Observando esto realizamos para cada salida su respectivo mapa de Karnaugh:

D4=QnQ4n+QnQ2nQ3n
D3=QnQ3n+Q3nQ2n+QnQ2nQ3n

D2=QnQ2n+QnQ2nQ4n
D1=Q4nQn
Ahora realizaremos el circuito DOWN implementándolo acorde a la siguiente tabla
de estados:

 TABLA Nº9
 TABLA DE ESTADOS DEL CONTADOR DOWN MODULO 10

EST. Q4n Q3n Q2n Qn D4 D3 D2 D1


9 1 0 0 1 1 0 0 0
8 1 0 0 0 0 1 1 1
7 0 1 1 1 0 1 1 0
6 0 1 1 0 0 1 0 1
5 0 1 0 1 0 1 0 0
4 0 1 0 0 0 0 1 1
3 0 0 1 1 0 0 1 0
2 0 0 1 0 0 0 0 1
1 0 0 0 1 0 0 0 0
0 0 0 0 0 1 0 0 1

Nuevamente realizamos para cada salida su respectivo mapa de K arnaugh:

D4=QnQ4n+QnQ2nQ3nQ4n
D3=Q2nQ3n+QnQ3n+QnQ4n

D2=QnQ4n+QnQ2n+QnQ2nQ3n D1=Qn
El siguiente paso es unificar ambos circuitos. Para esta instancia se opta por tomar
una señalo de control C, la cual nos permitirá elegir si deseamos obtener el
Contador UP (C=1) y DOWN (C=0) los cuales serán representados por C cuando
es UP y C cuando es DOWN.

Esto precisamente nos dará como resultado nuevas fórmulas:

D1=Q4nQn.C+ Qn.C
D2=QnQ2n+QnQ2nQ4nC+QnQ4n+QnQ2n+QnQ2nQ3nC
D3=QnQ3n+Q3nQ2n+QnQ2nQ3nC+Q2nQ3n+QnQ3n+QnQ4nC
D4=QnQ4n+QnQ2nQ3nC+ QnQ4n+QnQ2nQ3nQ4nC

Esto lo ponemos en manifiesto en la siguiente implementación:

U1:A        0 U1:B U2:A        0 U2:B


      4        1       4        1

2 D        S Q 5 12 D        S Q 9 2 D        S Q 5 12 D        S Q 9

3 11 3 11
C LK C LK CLK CLK
6 8 6 8
      R Q       R Q        1       R Q       R Q
       3        8        1        8

       1 74 74        3 74 74        1 7474        3 7474


U5:A U5:C       1 U5:D U 1 2 :       1
C
7432 7432 7432 7432

 1
       2        9        1
       0        2        1
       1        3        9        1
       0
U4:A
       1
1
2 12 R4
U8:A 13 10k
74 04
7411
       2
U3:A
1
3
2 C2
1u
7408

U3:B
4
6
5 U5:B
4 U3:C
R1 R2 R3 R5
7408 6 9 220 220 220 220
5 8
U4:B 10
3 74 32
4 6 7408
5
D1 D2 D3 D4
7411 LED-YELL
OW LED-YELLOW LED-YELLOW LED-YELLOW
U3:D
12
11
13

7408

U6:A U7:A
1 1 U6:B
3 2 9 4
2 8 6
5
7408 40 75
7408
U4:C
9
10 8
11

7411

U6:C
9
8
10

7408
U10:A
U6:D U7:B 1
12 3 3
11 4 6 2
13 5
7408
7408 40 75

U9:A
1
2 12
13

7411
U10:B
4
6
5

7408
U11:A
U10:C U7:C 1
9 11 3
8 12 10 2
10 13
7408
7408 40 75

U10:D
12
11
13

7408

U11:B
4
6 U11:C
5 U12:A 9
1 8
7408 3 10
2
U9:B 7408
3 74 32
4 6
5

7411

U11:D
12
11 U14:A
13 U12:B 1
4 3
7408 6 2
5
1 U13:A 7408
2 74 32
6
4
5
74 HC 21

FIGURA Nº15
CONTADOR EN MODO DOWN MODULO 10
REPRESENTANDO EL NUMERO CERO
FIGURA Nº18
CONTADOR EN MODO UP MODULO 10
REPRESENTANDO EL NUMERO NUEVE
B) Empleando FF’s tipo D:
Realizaremos la implementación de este circuito empleando flip flops tipo JK. Para
ello primero tenemos que tomar en cuenta algunos aspectos como la tabla de
habilitación del FF tipo JK y a su vez elaborar una tabla de estados. Indicaremos
también que empleamos 4 FF. En primer lugar identificamos la tabla de verdad del
FF tipo JK que figura paginas anteriores precisamente es la Tabla Nº3. Gracias a ello
elaboramos precisamente su tabla de habilitación:

 TABLA Nº10
 TABLA DE HABILITACION DEL FF JK 
Q
Qn n+1 J K  
0 0 0 X
0 1 1 X
1 0 X 1
1 1 X 0

Una vez realizado este paso, tomaremos en cuenta que realizaremos el proceso por
dos partes: primero elaboraremos una tabla de estados para un contador UP
modulo 10 y luego el contador DOWN modulo 10 también, para finalmente
unificarlos.
Realizaremos el circuito UP implementándolo acorde a la siguiente tabla de
estados:

 TABLA Nº11
 TABLA DE ESTADOS DEL CONTADOR UP MODULO 10 CON FF’s JK 

Q4 Q3 Q2
EST. n n n Qn J4 K4 J3 K3 J2 K2 J1 K1
0 0 0 0 0 0 X 0 X 0 X 1 X
1 0 0 0 1 0 X 0 X 1 X X 1
2 0 0 1 0 0 X 0 X X 0 1 X
3 0 0 1 1 0 X 1 X X 1 X 1
4 0 1 0 0 0 X X 0 0 X 1 X
5 0 1 0 1 0 X X 0 1 X X 1
6 0 1 1 0 0 X X 0 X 0 1 X
7 0 1 1 1 1 X X 1 X 1 X 1
8 1 0 0 0 X 0 0 X 0 X 1 X
9 1 0 0 1 X 1 0 X 0 X X 1

Observando esto realizamos para cada salida su respectivo mapa de Karnaugh; sin
embargo a simple observación notamos que:  J1=1 y K1=1. Las demás fórmulas
son:

 J4=QnQ2nQ3n K4=Qn
 J3=QnQ2n

K3=QnQ2n  J2=QnQ4n
K2=Qn
Ahora realizaremos el circuito DOWN implementándolo acorde a la siguiente tabla
de estados:

 TABLA Nº12
 TABLA DE ESTADOS DEL CONTADOR DOWN MODULO 10 CON FF’s JK 

Q4 Q3 Q2
EST. n n n Qn J4 K4 J3 K3 J2 K2 J1 K1
9 1 0 0 1 X 0 0 X 0 X X 1
8 1 0 0 0 X 1 1 X 1 X 1 X
7 0 1 1 1 0 X X 0 X 0 X 1
6 0 1 1 0 0 X X 0 X 1 1 X
5 0 1 0 1 0 X X 0 0 X X 1
4 0 1 0 0 0 X X 1 1 X 1 X
3 0 0 1 1 0 X 0 X X 0 X 1
2 0 0 1 0 0 X 0 X X 1 1 X
1 0 0 0 1 0 X 0 X 0 X X 1
0 0 0 0 0 1 X 0 X 0 X 1 X

Observando esto realizamos para cada salida su respectivo mapa de Karnaugh; sin
embargo a simple observación notamos que:  J1=1 y K1=1. Las demás fórmulas
son:

 J4=QnQ2nQ3n K4=Qn
 J3=QnQ4n

K3=QnQ2n  J2=Qn.Q3n+Q4n
K2=Qn

El siguiente paso es unificar ambos circuitos. Para esta instancia se opta por tomar
una señalo de control C, la cual nos permitirá elegir si deseamos obtener el
Contador UP (C=1) y DOWN (C=0) los cuales serán representados por C cuando
es UP y C cuando es DOWN.
Esto precisamente nos dará como resultado nuevas fórmulas:
 J4=QnQ2nQ3nC+QnQ2nQ3nC
K4=Qn⨁C
 J3=QnQ2nC+(QnQ4n)C
K3=QnQ2nC+QnQ2nC
 J2=QnQ4nC+Qn.Q3n+Q4nC
K2=Qn⨁C
 J1=1
K1=1
Esto lo ponemos en manifiesto en la siguiente implementación:
U2:B U1:A U1:B U2:A
      7       2       7       2

9 J Q 11 4 J Q 15 9 J Q 11 4 J Q 15
      S       S       S       S
6 1 6 1
CLK CLK CLK CLK

12 10 16 14 12 10 16 14
0 K
      R
Q K
      R
Q K
      R
Q K
      R
Q

      6       4
      8 7476       3 7476       1       8 7476       3 7476
      1       6       3       8       1
U7:B U10:B
U5:A U4:B U10:A U4:C U4:D 7432 4077
7404 7432 4077 7432 7432
U3:A
      2 1
2 12
13
            4      5
 5    6
7411             4
    5         1 2       9       1
      0       2       1
      3
      1 R4
10k
U4:A
1
3
2

7432 U3:B
3
C2
4 6 1u
5
R1 R2 R3 R5
U3:C 7411 220 220 220 220
9
10 8
11

7411
D1 D2 D3 D4
U6:A LED-YELLOW LED-YELLOW LED-YELLOW LED-YELLOW
1
2 12
13

7411

U7:A
1 U8:A
3 1
2 3
2
7408
7408
1 U9:A
2
6
4
5
74HC21

9 U9:B
10
8
12
13
74HC21

FIGURA Nº19
CONTADOR EN MODO DOWN MODULO 10 CON FF’s JK 
REPRESENTANDO EL NUMERO CERO

U2:B U1:A U1:B U2:A


     7      2      7      2
9 11 4 15 9 11 4 15
J       S Q J       S Q J       S Q J       S Q
6 1 6 1
CLK CLK CLK CLK

12 10 16 14 12 10 16 14
0 K
     R
Q K
     R
Q K
     R
Q K
     R
Q

     8      3      8      6      4      3


     1 7476      6      3 7476      8      1
     1 7476 7476
U7:B U10:B
U5:A U4:B U10:A U4:C U4:D 7432 4077
7404 7432 4077 7432 7432
U3:A
     2 1
2 12
13
     4 5           5 6
          4 5      1 2      9      0      2      3
7411      1      1      1 R4
10k
U4:A
1
3
2

7432 U3:B
3
C2
4 6 1u
5
R1 R2 R3 R5
U3:C 7411 220 220 220 220
9
10 8
11

7411
D1 D2 D3 D4
U6:A LED-YELLOW LED-YELLOW LED-YELLOW LED-YELLOW
1
2 12
13

7411

U7:A
1 U8:A
3 1
2 3
2
7408
7408
1 U9:A
2
6
4
5
74HC21

9 U9:B
10
8
12
13
74HC21

FIGURA Nº20
CONTADOR EN MODO DOWN MODULO 10 CON FF’s JK 
REPRESENTANDO EL NUMERO NUEVE
U2:B U1:A U1:B U2:A
     7      2      7      2
9 11 4 15 9 11 4 15
J       S Q J       S Q J       S Q J       S Q
6 1 6 1
CLK CLK CLK CLK

12 10 16 14 12 10 16 14
1 K
     R
Q K
     R
Q K
     R
Q K
     R
Q

     6      4
     8 7476      3 7476      1      8 7476      3 7476
     1      6      3      8      1
U7:B U10:B
U5:A U4:B U10:A U4:C U4:D 7432 4077
7404 7432 4077 7432 7432
U3:A
     2 1
2 12
13
          4 5           5 6
     4 5           1 2      9      0      2      3
7411      1      1      1 R4
10k
U4:A
1
3
2

7432 U3:B
3
4 6
C2
1u
5

U3:C 7411
R1 R2 R3 R5
220 220 220 220
9
10 8
11

7411
D1 D2 D3 D4
U6:A LED-YELLOW LED-YELLOW LED-YELLOW LED-YELLOW
1
2 12
13

7411

U7:A
1 U8:A
3 1
2 3
2
7408
7408
1 U9:A
2
6
4
5
74HC21

9 U9:B
10
8
12
13
74HC21

FIGURA Nº21
CONTADOR EN MODO UP MODULO 10 CON FF’s JK 
REPRESENTANDO EL NUMERO CERO

U2:B U1:A U1:B U2:A


    7     2     7     2
9 11 4 15 9 11 4 15
J     S Q J     S Q J     S Q J     S Q

6 1 6 1
CLK CLK CLK CLK

12 10 16 14 12 10 16 14
1 K
    R Q K
    R Q K
    R Q K
    R Q

    6     4
    1     8 7476     6     3     3 7476     8     1
    1     8 7476     3 7476
U7:B U10:B
U5:A U4:B U10:A U4:C U4:D 7432 4077
7404 7432 4077 7432 7432
U3:A
    2 1
2 12
13
        4 5         5 6
        4 5         1 2     9     0     2     3 R4
7411     1     1     1
U4:A 10k

1
3
2

7432 U3:B
3 C2
4 6
1u
5
R1 R2 R3 R5
U3:C 7411 220 220 220 220
9
10 8
11

7411
D1 D2 D3 D4
U6:A LED-YELLOW LED-YELLOW LED-YELLOW LED-YELLOW
1
2 12
13

7411

U7:A
1 U8:A
3 1
2 3
2
7408
7408
1 U9:A
2

6
4
5
74HC21

9 U9:B
10
8
12
13
74HC21

FIGURA Nº22
CONTADOR EN MODO UP MODULO 10 CON FF’s JK 
REPRESENTANDO EL NUMERO NUEVE
VI. LISTADO DE MATERIALES

Circuitos Integrados IC 555, TTL : 74LS76, 74LS74, 74LS00, 74LS02, 74LS04

Prothoboard y pulsador

Cables de conexión

Resistencias de diversos valores (100Kohm, 120 Kohm)

Diodos LED´s.

Condensadores de diversos valores: 0.1 uF, 4.7uF, 10uF.

VII. IMPLEMENTACION

1. Implementar el contador asíncrono “UP” modulo 16 mostrado en


la Figura 23. Analice su funcionamiento, desarrolle la Tabla de
estados y construir el diagrama de tiempo; (Sugerencia Usar IC
74LS76)

FIGURA 23
CONTADOR ASÍNCRONO “UP” MÓDULO 16

ANÁLISIS DEL FUNCIONAMIENTO:


a) En primer lugar realizamos la implementación del circuito de manera
física en un prothoboard, así como en el Proteus.
R6 R7 R8 R9
10k 10k 10k 10k

R1 R2 R3 R4
10k 10k 10k 10k

D1 D2 D3 D4
1k RESISTOR RESISTOR RESISTOR

      2
U1:A       7
U1:B       2
U2:A       7
U2:B

4       S 15 9       S 11 4       S 15 9       S 11


J Q J Q J Q J Q

1 6 1 6
CLK CLK CLK CLK

16 14 12 10 16 14 12 10
K       R Q K       R Q K       R Q K       R Q

      3       8       3       8


7476 7476 7476 7476
R5
10k

C1
1uF

FIGURA 23
IMPLEMENTACIÓN EN PROTEUS DEL
CONTADOR ASÍNCRONO “UP” MÓDULO 16 (NUMERO CERO)

a) El funcionamiento del siguiente contador ASÍNCRONO es el siguiente:


como lo indica su nombre es una relación temporal de sucesos la cual
está compuesta en este caso por 4 flip flops del tipo JK. Lo que sucede es
que en el contador los FF’s no cambian de estado exactamente al mismo
tiempo, dado que no comparten el mismo impulso de reloj.
b) Observemos que la entrada del primer FF está conectado únicamente al
reloj (Clock), el segundo FF está conectado a la salida del primero, el cual
le brinda el impulso para su funcionamiento; ocurre que la salida de este
segundo está conectada a la entrada del tercer FF y lo mismo ocurre
para el último caso.

c) En nuestro ejemplo ocurre que luego del disparo del reloj se muestra la
salida la cual dispara al segundo FF en el segundo flanco negativo. La
activación del tercer FF ocurre en el cuarto impulso del reloj y la del
último en el octavo impulso con flanco negativo.

d) Cabe indicar que las frecuencias de las salidas van siendo sucesivamente
un medio de la salida del Flip Flop anterior (esto se reflejará en el
diagrama de tiempos).
e) A este tipo de Flip Flop también se les denomina de rizado, debido a que
a gran frecuencia la activación de alguna entrada no ocurre exactamente
en el flanco negativo del impulso, sino que puede ocurrir tiempo después
de este generando lo que se conoce como rizado.
TABLA Nº13
TABLA DE ESTADOS DEL CONTADOR ASÍNCRONO MODULO 16 CON FF’s
 JK 

Q4 Q3 Q2
EST. n n n Qn
0 0 0 0 0
1 0 0 0 1
2 0 0 1 0
3 0 0 1 1
4 0 1 0 0
5 0 1 0 1
6 0 1 1 0
7 0 1 1 1
8 1 0 0 0
9 1 0 0 1
10 1 0 1 0
11 1 0 1 1
12 1 1 0 0
13 1 1 0 1
14 1 1 1 0
15 1 1 1 1

DIAGRAMA DE ESTADOS:

FIGURA 24
DIAGRAMA DE ESTADOS DE CONTADOR ASÍNCRONO

1. Al circuito contador asíncrono “UP” modulo 16 de la Figura 23,


modificar para que pueda realizar la función de:
a) Contador “UP” modulo 14
U3:D

U3:A U3:B
AND AND

AND

R6 R7 R8 R9
10k 10k 10k 10k

R1 R2 R3 R4
10k 10k 10k 10k

D1 D2 D3 D4
1k RESISTOR RESISTOR RESISTOR

      2
U1:A       7
U1:B       2
U2:A       7
U2:B

4       S 15 9       S 11 4       S 15 9       S 11


J Q J Q J Q J Q

1 6 1 6
CLK CLK CLK CLK

16 14 12 10 16 14 12 10
K       R Q K       R Q K       R Q K       R Q

      3       8       3       8


7476 7476 7476 7476
R5
10k

U3:C
9
8
10

7400
C1
1uF

b) Contador “UP” modulo 12


U3:D

U3:A U3:B
AND AND

AND

U4
NOT

R6 R7 R8 R9
10k 10k 10k 10k

R1 R2 R3 R4
10k 10k 10k 10k

D1 D2 D3 D4
1k RESISTOR RESISTOR RESISTOR

      2
U1:A       7
U1:B       2
U2:A       7
U2:B

4       S 15 9       S 11 4       S 15 9       S 11


J Q J Q J Q J Q

1 6 1 6
CLK CLK CLK CLK

16 14 12 10 16 14 12 10
K       R Q K       R Q K       R Q K       R Q

      3       8       3       8


7476 7476 7476 7476
R5
10k

U3:C
9
8
10

7400
C1
1uF

c) Contador “UP” modulo 8


U3:D

U3:A U3:B
AND AND

AND

U4
NOT U5
NOT

R6 R7 R8 R9
10k 10k 10k 10k

R1 R2 R3 R4
10k 10k 10k 10k

D1 D2 D3 D4
1k RESISTOR RESISTOR RESISTOR

      2
U1:A       7
U1:B       2
U2:A       7
U2:B

4       S 15 9       S 11 4       S 15 9       S 11


J Q J Q J Q J Q

1 6 1 6
CLK CLK CLK CLK

16 14 12 10 16 14 12 10
K       R Q K       R Q K       R Q K       R Q

      3 7476       8 7476       3 7476       8 7476


R5
10k

U3:C
9
8
10

7400
C1
1uF

3. Al circuito contador asíncrono “UP” de la Figura 1, configurar


para que realice la función de divisor de frecuencia entre:
a) Divisor entre 15
b) divisor entre 12
c) Divisor entre 10

4. Implementar el circuito contador síncrono, cuyo diagrama se


muestra en la Figura 2, analice su funcionamiento, desarrolle su
tabla de estados y graficar el diagrama de tiempos de Qn, Q2n,
Q3n y Q4n . (Considere Qn: LSB Q4n: MSB) Para su
implementación utilice IC 74LS76.

FIGURA 2
CIRCUITO CONTADOR SINCRONO.
ANÁLISIS DEL FUNCIONAMIENTO:
a) En primer lugar realizamos la implementación del circuito de manera física
en un prothoboard, así como en el Proteus.

U1:A U1:B U2:A U2:B


     2      7      2      7
     3      6
4 15 9 11 4 15 9 11
J      S Q J      S Q J      S Q J      S Q
U5:A U3:B
1 6 1 6
7432 CLK 7408 CLK CLK CLK
     3      8
16 14 12 10 16 14 12 10
K Q K Q K Q K Q
     R      R U7:A      R U7:C      R

7432 7432
     3 7476      8 7476      3 7476      8 7476
          1 2           4 5
     8
     6
U3:C
7408 U7:B
          1 2      9      0
7432      1
U3:A
1
3
2
     9      0
     1
          4 5
7408      6 R4
10k
U4:A U5:B
1
7432
3
2

4070

C2
U6:A           4 5
1u
1
3
2 R1 R2 R3 R5
220 220 220 220
4077

U5:C
9
8
10 D1 D2 D3 D4
LED-YELLOW LED-YELLOW LED-YELLOW LED-YELLOW
7432

U5:D
12
11
13

7432
U6:B
5
4
6

4077

U3:D
12
11
13

7408

U8:A
1
3
2

7408

U7:D
12
11
13

7432

FIGURA 23
IMPLEMENTACIÓN EN PROTEUS DEL CONTADOR SÍNCRONO
b) Al simularlo observamos que se trata de un circuito contador del tipo
escalador. Los valores arrojados en la secuencia son: 15, 10, 5, 13, 4, 11,
6, 1, 12, 0.
c) Corroboramos esto con las siguientes figuras:

    2
U1:A     7
U1:B     2
U2:A     7
U2:B
    3     6
4     S 15 9     S 11 4     S 15 9     S 11
J Q J Q J Q J Q
U5:A U3:B
7432 1 CLK 7408 6 CLK 1 CLK 6 CLK
    3     8
16 14 12 10 16 14 12 10
K     R Q K     R Q K     R Q K     R Q
U7:A U7:C
7432 7432
    3 7476     8 7476     3 7476     8 7476
        1 2         4 5
    8
    6
U3:C
7408 U7:B
        1 2     9     0
    1
U3:A 7432
1
3
2
    9     0
    1
7408         4 5 R4
    6
U4:A 10k
U5:B
1
7432
3
2

4070
C2
U6:A         4 5
1u
1
3
2 R1 R2 R3 R5
220 220 220 220
4077
U5:C
9
8
10 D1 D2 D3 D4
LED-
YELLOW LED-
YELLOW LED-
YELLOW LED-
YELLOW
7432

U5:D
12
11
13

7432
U6:B
5
4
6

4077

U3:D
12
11
13

7408

U8:A
1
3
2

7408

U7:D
12
11
13

7432

FIGURA 23
d) A partir de ello y empleador el simulador Proteus, elaboramos la siguiente
tabla de estado, tomando como ayuda también a la tabla de habilitación
del FF tipo JK mencionada en páginas anteriores:
TABLA Nº14
TABLA DE ESTADOS DEL CONTADOR ESCALADOR
Q4 Q3 Q2
EST. n n n Qn J4 K4 J3 K3 J2 K2 J1 K1
15 1 1 1 1 X 0 X 1 X 0 X 1
10 1 0 1 0 X 1 1 X X 1 1 X
5 0 1 0 1 1 X X 0 0 X X 0
13 1 1 0 1 X 1 X 0 0 X X 1
4 0 1 0 0 1 X X 1 1 X 1 X
11 1 0 1 1 X 1 1 X X 0 X 1
6 0 1 1 0 0 X X 1 X 1 1 X
1 0 0 0 1 1 X 1 X 0 X X 1
12 1 1 0 0 X 1 X 1 0 X 0 X
0 0 0 0 0 1 X 1 X 1 X 1 X

e) De la tabla anterior obtenemos las fórmulas que conforman al contador


síncrono escalador, estas fórmulas constituyen circuitos combinacionales
que se emplean en las entradas de los FF’s JK:

 J1=Q2nQ4n+Q4n⨁Q3n
K1=Q3n⨁ Q2n+Q4n
 J2=QnQ3n+Q4n
K2=QnQ3n+Q4n
 J3=Qn+Q2n⨁Q4n
K3=Q2n+QnQ3n
 J4=Q3nQn+Q2n
K4=Q3n+Q2n
f) Finalmente analizamos este contador en un diagrama de tiempos:

DIAGRAMA DE ESTADOS:
FIGURA 23
DIAGRAMA DE ESTADOS DEL CONTADOR ESCALADOR

5. Implementar un circuito contador síncrono UP/DOWN modulo 10, utilizando


el diseño del informe previo del presente laboratorio. Utilice Flip Flops JK.
6. Implementar el circuito de un contador síncrono, descrito por las funciones
Lógicas de los Flip Flops; analice su funcionamiento, desarrolle su tabla de
estados y su diagrama de tiempos. (Para su implementación. Sugerencia
Utilice IC 74LS76). Considere Q4n : MSB Qn : LSB.
 J4 = (Qn)´ + Q2n
K4 = Qn +Q2n +Q3n
  J3 = (Qn)´
K3 = (Q4n)´
J2 = Qn (Q3n)´ + (Qn)´Q3n Q4n
K2 = (Qn)´+ Q3n
  J1 = (Q2n)´ Q4n
K1 = Q2n (Q3n)´+ (Q2n)´(Q4n)´

IX. OBSERVACIONES Y CONCLUSIONES

CONCLUSIONES:

➢ Existen principalmente tres tipos de contadores: asíncronos (la salida


de un FF es el clock del otro), síncronos (los FF’s poseen el mismo
clock) y los escaladores (no siguen un ascendente o descendente).
➢ Gracias a las experiencias conocemos que un contador asíncrono sólo
podemos utilizarlo para frecuencias bajas de hasta 100 Hz, en caos
contrario se suelen emplear los síncronos. Lo que sucede es que al
llegar el flanco de cambio de una salida a la respectiva entra transcurre
un intervalo de tiempo que puede retardar la salida generando así lo
que se conoce como RIZADO.
➢ Para saber cual es el módulo de un contador por lo general se suele
usar la siguiente fórmula: 2n= ⋕de estados . Entendamos por módulo
a los estados que se generan.
➢ Para los contadores asíncronos se suele emplear un circuito de Reseteo
de acuerdo al número de estados que pretendamos obtener. Ahora hay
que tener cuidado al realizar tal circuito combinacional; por ejemplo si
pretendemos resetearlo al 5 estado no debemos hacerlo cuando la
salida sea el número 4 puesto que el tiempo de propagación entre
compuertas ( 10 nanosegundos) no permitirá mostrar la salida, lo que
se hace es resetearlo a partir del estado siguiente (numero 5).
➢ Para diseñar contadores síncronos podemos optar por 2 maneras,
empleando la ecuación característica del FF o su respectiva tabla de
habilitación.

También podría gustarte