Está en la página 1de 4

UNIVERSIDAD NACIONAL DE SAN ANTONIO ABAD DEL CUSCO - INGENIERÍA ELECTRÓNICA - LABORATORIO DE SISTEMAS DIGITALES I - 2021 I -G1-P1-0041

Pre-informe de Comparadores
ING. MARGARITA LUZ GUILLEN LOAYZA, DOCENTE, EDISON ABADO ANCCO, 145012, ALUMNO

Figura 1. Diagrama lógico de la comparación de igualdad de dos números Figura 2. Sı́mbolo lógico para un comparador de 4 bits con indicación de
de 2 bits. desigualdad.

Resumen—La función básica de un comparador consiste Cuando se encuentra una desigualdad, la relación entre
en comparar las magnitudes de dos cantidades binarias para
determinar su relación. En su forma más sencilla, un circuito ambos números queda establecida y cualquier otra desigualdad
comparador determina si dos números son iguales. entre bits con posiciones de orden menor debe ignorarse, ya
Términos Clave— comparador que podrı́an indicar una relación entre los números completa-
mente opuesta. La relación de más alto orden es la que tiene
prioridad.
I. C ONCEPTOS B ÁSICOS
I-A. Igualdad I-C. Expansión de Comparación
De la teorı́a ya aprendida, conocemos que la compuerta De acuerdo a la hoja de datos del circuito integrado
OR-excluriva se puede emplear como un comparador básico 74HC85 que encontramos, la expansión puede darse como se
porque su salida es UNO lógico en cuanto tiene dos de sus muestra en la figura (3) para una expansión en serie, en donde
entradas diferentes, y es CERO lógico en cuanto tiene sus se unen los pines de salida de comparación del CI menos
entradas iguales. significativo correspondiente, a los ;ines de comparación del
Para comparar dos números binarios de dos bits por ejem- CI más significativo consecutivo, este procedimiento se sigue
plo, se necesita de una compuerta XOR adicional. Los dos hasta alcanzar la cantidad de bits que se necesite. Las salidas
bits menos significativos (LSB) se comparan con la compuerta finales de comparación serán las correspondientes al CI que
G1 , y los bits más significativos (MSB) se comparan con la contenga al los MSBs. También podemos ver la figura (4)
compuerta G2 , como se muestra en la figura (1). Si ambos para hacer una expansión en paralelo en donde el arreglo se
números son iguales, sus correspondientes bits también lo hace con un comparador extra, que recibirá las entradas de 3
son, por lo que la salida de cada XOR será cero, por lo que CI, como se muestra en la figura correspondiente. Se deja a
las hacemos pasar por una compuerta NOT a cada una para consideración del diseñador el velar por la mejor opción de
finalmente usar una compuerta AND, lo que nos dará UNO acuerdo a sus necesidades.
lógico si es una igualdad, o CERO lógico si no lo es.
II. P ROCEDIMIENTO DE L ABORATORIO
I-B. Desigualdad
II-1. Implemente un comparador de números de 3 bits
Exixten muchos circuitos integrados que pueden indicar una en proteus con visualización en display: Para ello usamos
determinada salida cuando un número A es mayor a B (A > lo aprendido en diseño de subcircuitos en cajas, por lo que
B), y otra cuando un número A es menor que B (A < B), diseñamos un subcircuito interior con un CI 74HC85 que
tal como se muestra en la figura (2). será nuestro comparador, de manera adicional agregamos dos
Para determinar la desigualdad, se empieza por el MSB, con decodificadores que son los CI 78HC48 para que podamos
las siguientes condiciones: usar display de 7 segmentos, en nuestro caso, uno de cátodo
Si A3 = 1 y B3 = 0, entonces A es mayor que B. común. Este subcircuito se muestra en la figura (5).
Para el circuito final, usamos la caja ya diseñada de la
Si A3 = 0 y B3 = 1, entonces A es menor que B. figura (5) para poder agregar leds indicadores de igualdad y
desigualdad, también se agrega display de 7 segmentos. Para
Si A3 = B3 , entonces tendremos que ir examinando poder simular, se incluye entradas de estado lógico, con lo que
los bits de orden inmediatamente menor hasta poder daremos por culminado nuestro circuito de simulación para un
determinar todo. comparador de 3 bits. En la figura (6) se muestra la simulación
UNIVERSIDAD NACIONAL DE SAN ANTONIO ABAD DEL CUSCO - INGENIERÍA ELECTRÓNICA - LABORATORIO DE SISTEMAS DIGITALES I - 2021 I -G1-P1-0042

Figura 5. Circuito interno en caja con un comparador 78HC85 y dos


decodificadores a display de 7 segmentos de cátodo común 74HC48.

Figura 3. Comparador serie en cascada para 12 bits.

Figura 6. Simulación de un comparador de 3 bits en Proteus en el que muestra


que A es mayor que B.

de la comparación de dos números que indica que A es mayor


que B, en la figura (7) indica que A es menor que B, y en
la figura (8) muestra que A y B son iguales, cada salida tiene
su correspondiente led indicador de estado.
II-2. Implemente un comparador de números de 4 bits
utilizando VHDL Modelsim: Para poder programar en VHDL,
recordamos que lirerı́a incluir, y la manera de crear entidades
ası́ como la arquitectura. Para crear la cantidad de bits a
comparar, generamos un vector para la entrada de A, y otro
para la entrada de B. Todo el procedimiento se muestra en las
lineas de código mostradas a continuación.

Figura 4. Comparador paralelo en cascada para comparar 12 bits.


1 -- Comparador de 4 bits - Laporatorio de Sistemas ←-
Digitales 1 --
2 library ieee;
3 use ieee.std_logic_1164.all;
UNIVERSIDAD NACIONAL DE SAN ANTONIO ABAD DEL CUSCO - INGENIERÍA ELECTRÓNICA - LABORATORIO DE SISTEMAS DIGITALES I - 2021 I -G1-P1-0043

las entradas bit a bit. Para ello comenzamos a darle 2ps de


amplitud al bit menos significativo de la vriable de entra
de B, aplitud igual a 2n , donde n = 1 corresponde al bit
menos significativo. Hacemos ello hasta alcanzar al bit más
significativo de la variable B, con una amplitud de reloj de
2n , con n = 8, que serı́a 256ps. Este procedimiento para
obtener lo que se muestra en la figura (9). El resultado es
satisfactorio, ya que se puede ver claramente que en todas las
combinaciones hay 16 igualdades, lo cual es lógico porque
cada número tiene 24 = 16 combinaciones. Este resultado se
muestra en las formas de onda mostradas en las tres muestras
inferiores.
El cursor amarillo puede mostrar otro ejemplo aleatorio, que
en este caso es que en el segundo 82p se tiene para A = 1010
y B = 1101, dando como resultado un UNO lógico para la
salida AmenorB, y generando un CERO lógico a las demás
salidas, lo cual es totalmente lógico.
II-3. Implemente un comparador de números de 8 bits
paralelo utilizando BOARD con el CI74HC85: Para l aimple-
Figura 7. Simulación de un comparador de 3 bits en Proteus en el que muestra mentación en protoboard usaremos lo elemental, incluyendo
que A es menor que B.
un display de 7 segmentos de anodo común, y el CI 74HC85
que será nuestro comparador. Hacemos el cableado según las
indicaciones de su hoja de datos, y posteriormente hacemos la
simulación, ası́ comop se muestra en la figura (10). Para ello,
hacemos una expansión en serie, porque esta configuración
nocesita menos circuitos integrados, como se explicó en una
sección anterior.
La simulación muestra para la entrada A = 00101010, y
para la entrada B = 00010110, lo que claramnete indica que
A es mayor a B, este resultado se indica objetivamente con
el led de en medio, el cual está encendido. Si el led cetral
del conjunto de leds centrales estuviera encendido, indicarı́a
que es una igualdad, y si el led derecho del conjunto de leds
centrales estuviera encendido, indicarı́a que la variable A es
menor que B. Lógicamente solo se puede encender uno de los
leds del grupo de leds centrales.

Figura 8. Simulación de un comparador de 3 bits en Proteus en el que muestra


que A es igual que B.

4
5 entity comparador4b is
6 port( A, B: in std_logic_vector(3 downto 0);
7 AmayorB, AmenorB, AigualB : out std_logic);
8 end comparador4b;
9
10 architecture comportamiento_c4b of comparador4b is
11 begin
12 AmayorB <= '1' when (A>B) else '0'; -- A mayor que B, ←-
lo demas 0
13 AmenorB <= '1' when (A<B) else '0'; -- A menor que B, ←-
lo demas 0
14 AigualB <= '1' when (A=B) else '0'; -- A igual que B, ←-
lo demas 0
15 end comportamiento_c4b;

Una vez compilado las lineas de código, generamos la


simulación, en la cual, para que podamos reconocer todas
las combinaciones posibles, configuramos como reloj a todas
UNIVERSIDAD NACIONAL DE SAN ANTONIO ABAD DEL CUSCO - INGENIERÍA ELECTRÓNICA - LABORATORIO DE SISTEMAS DIGITALES I - 2021 I -G1-P1-0044

Figura 10. Simulación digital del CI 74HC85 para una entrada de 8 bits.

Figura 9. Formas de onda de todas las combinaciones para la comparación


de dos números A y B de 4 bits cada uno.

También podría gustarte