Está en la página 1de 26

UNIVERSIDAD NACIONAL DE INGENIERA

Facultad de Ingeniera Industrial y de Sistemas



MULTIPLICADOR DE
CUATRO BITS
SISTEMAS DIGITALES





PROFESOR:
BENITES YARLEQUE, JOS

INTEGRANTES:
ATAUQUE GALINDO, JOSU BRYAN
AREVALO RAMIREZ, LEANDRO GABRIEL



LIMA, 7 DE MAYO DEL 2014
Ao de la Promocin de la Industria responsable y del compromiso Climtico

MULTIPLICADOR DE CUATRO BITS

Pgina 1



NDICE
INTRODUCCIN ...................................................................................................................................................... 2
OBJETIVOS ............................................................................................................................................................. 3
CAPTULO 1: CONCEPTOS PREVIOS......................................................................................................................... 4
1.1. FUNDAMENTO TEORICO ....................................................................................... ERROR! BOOKMARK NOT DEFINED.
1.2. MATERIALES ....................................................................................................... ERROR! BOOKMARK NOT DEFINED.
1.3. SIMULACION EN MULTISIM. ................................................................................... ERROR! BOOKMARK NOT DEFINED.
CAPTULO 2: DESARROLLO DEL CIRCUITO ........................................................... ERROR! BOOKMARK NOT DEFINED.
2.1. PROCEDIMIENTO ................................................................................................. ERROR! BOOKMARK NOT DEFINED.
2.2. DESCRIPCION DEL CIRCUITO ................................................................................... ERROR! BOOKMARK NOT DEFINED.
CAPTULO 3: OBSERVACIONES ............................................................................................................................. 17
CAPTULO 4: CONCLUSIONES.............................................................................. ERROR! BOOKMARK NOT DEFINED.
CAPTULO 5: ANEXO ............................................................................................................................................. 17
5.1. PROBLEMA 1 ...................................................................................................... ERROR! BOOKMARK NOT DEFINED.
5.2. PROBLEMA 2 ...................................................................................................... ERROR! BOOKMARK NOT DEFINED.
5.3. PROBLEMA 3 ...................................................................................................... ERROR! BOOKMARK NOT DEFINED.
5.4. PROBLEMA 4 ...................................................................................................... ERROR! BOOKMARK NOT DEFINED.
BIBLIOGRAFIA ...................................................................................................................................................... 25


MULTIPLICADOR DE CUATRO BITS

Pgina 2


INTRODUCCIN

Las puertas lgicas son las componentes fundamentales de los circuitos digitales. Ellas ejecutan
las funciones bsicas del lgebra de Boole a partir de cifras en cdigo binario.
Esta estructura, desarrollada por George Boole en los aos 1830s, se encuentra a la base de los
sistemas informticos actuales, los cuales opera exclusivamente con cantidades numricas
(codificadas en binario).
La lgica combinatoria es la lgica ltima y como tal puede ser un modelo simplificado del
cmputo, usado en la teora de computabilidad (el estudio de qu puede ser computado) y la
teora de la prueba (el estudio de qu se puede probar matemticamente).
La teora, a causa de su simplicidad, captura las caractersticas esenciales de la naturaleza del
cmputo. La lgica combinatoria (LC) es el fundamento del clculo lambda, al eliminar el ltimo
tipo de variable de ste: la variable lambda. En LC las expresiones lambda (usadas para permitir
la abstraccin funcional) son substituidas por un sistema limitado de combinadores, las funciones
primitivas que no contienen ninguna variable libre (ni ligada). Es fcil transformar expresiones
lambda en expresiones combinatorias, y puesto que la reduccin de un combinador es ms simple
que la reduccin lambda, LC se ha utilizado como la base para la puesta en prctica de algunos
lenguajes de programacin funcionales no-estrictos en software y hardware. Sin embargo, en esta
ocasin haremos uso de la lgica combinatoria para la implementacin de un multiplicador de
cuatro bits.




MULTIPLICADOR DE CUATRO BITS

Pgina 3


OBJETIVOS

Implementar un sistema multiplicador de cuatro bits en un protoboard.
Identificar los elementos y circuitos integrados necesarios para la implementacin de este
sistema.
Familiarizarse con los elementos digitales y su funcionamiento como sumadores, circuitos
integrados, etc.
MULTIPLICADOR DE CUATRO BITS

Pgina 4


CAPTULO 1: CONCEPTOS PREVIOS

1.1 . Fundamento Terico
Sumadores
Un sumador es un circuito que realiza la suma de dos palabras binarias. Es distinta de la
operacin OR, con la que no nos debemos confundir. La operacin suma de nmeros
binarios tiene la misma mecnica que la de nmeros decimales.
Por lo que en la suma de nmeros binarios con dos o ms bits, puede ocurrir el mismo caso
que podemos encontrar en la suma de nmeros decimales con varias cifras: cuando al sumar
los dos primeros dgitos se obtiene una cantidad mayor de 9, se da como resultado el dgito
de menor peso y me llevo" el anterior a la siguiente columna, para sumarlo all.
En la suma binaria de los dgitos 1 + 1, el resultado es 0 y me llevo 1, que debo sumar en la
columna siguiente y pudindose escribir 10, solamente cuando sea la ltima columna a
sumar. A este bit ms significativo de la operacin de sumar, se le conoce en ingls como
carry (acarreo), equivalente al me llevo una de la suma decimal.
Semisumador. Es un dispositivo capaz de sumar dos bits y dar como resultado la suma de
ambos y el acarreo. La tabla de verdad correspondiente a esta operacin sera:
Entradas Salidas
A B C S
0 0 0 0
0 1 0 1
1 0 0 1
1 1 1 0
MULTIPLICADOR DE CUATRO BITS

Pgina 5


Con lo que sus funciones cannicas sern:




Que una vez implementado con puertas lgicas, un semisumador tendra el circuito:

Imagen 1. Circuito usando compuertas Lgicas
Sumador completo. Presenta tres entradas, dos correspondientes a los dos bits que se van a
sumar y una tercera con el acarreo de la suma anterior. Y tiene dos salidas, el resultado de la
suma y el acarreo producido. Su tabla de verdad ser:

Entradas
Salidas
A B C
-1
C S
0 0 0 0 0
0 0 1 0 1
0 1 0 0 1
0 1 1 1 0
1 0 0 0 1
MULTIPLICADOR DE CUATRO BITS

Pgina 6


1 0 1 1 0
1 1 0 1 0
1 1 1 1 0
Sus funciones cannicas sern:


Que una vez simplificadas quedaran:


O bien:

Una vez implementado con puertas lgicas el sumador presentara cualquiera de los
siguientes circuitos:

Imagen 2. Elaboracin propia Imagen 3. Elaboracin propia

MULTIPLICADOR DE CUATRO BITS

Pgina 7



Aunque, como ya hemos dicho en otros casos, en realidad estos circuitos no se cablean con
puertas lgicas, si no que forman parte de circuitos integrados como el CI 7483, que es un
sumador de cuatro bits.

Imagen 4. Descripcin de los terminales de un Sumador de 4 bits
El esquema

Imagen 5. Diagrama de Bloque de un Sumador de 4 Bits

MULTIPLICADOR DE CUATRO BITS

Pgina 8


El esquema mostrado en la figura es el conexionado interno que presenta dicho sumador de 4
bits, configurado dentro del CI 7483.

Imagen 6. Diagrama Lgico de un Sumador

Caractersticas del sumador de 4 bits 7483:
Es un sumador completo que ejecuta la suma de dos nmeros binarios de cuatro bits. Hay
salida de suma por cada bit y el acarreo resultante (C4), se obtiene del cuarto bit.
MULTIPLICADOR DE CUATRO BITS

Pgina 9


Est diseado para velocidades medias-altas de funcionamiento, con bits mltiples de suma
en paralelo y acarreo en serie.
Tensin de alimentacin.......................4,5V a 5,25V.
Temperatura de funcionamiento.............0 a 70C.
Cargabilidad de salida normalizada C4......5 U.L.
Cargabilidad de las salidas de suma.........10 U.L.
Tensin de entrada alta mnima..............2V.
Tensin de entrada de alta mxima.........0,8V.

Para sumar nmeros de ms de un bit, tambin se recurre al conexionado de sumadores
binarios en paralelo, donde el acarreo de la suma de dos dgitos ser una entrada a sumar en
el paso siguiente. En este caso se precisan tantos semisumadores como bits tengamos que
sumar. El montaje de la figura posterior tiene un funcionamiento idntico al del CI 7483,
aunque presenta incompatibilidades a nivel de pines .

Imagen 7. Cuatro sumadores de 2 bits en Serie

Circuito integrado 7408

MULTIPLICADOR DE CUATRO BITS

Pgina 10


El TTL (Lgica Transistor - Transistor) 7408 es un circuito que contiene puertas lgicas AND.
Circuito Integrado: 7408
Operador: AND
Tecnologa: TTL, 74LS08, 74S08
Puertas: 4
Entradas: 2 por puerta
Cpsula: DIP 14 pins
Caractersticas cashampsticas
Las Caractersticas tcnicas son las siguientes:
Caractersticas tcnicas
Parmetro 7408 74LS08 74S08 UNIDAD
Tensin de Cashampeo Vcc 5 0.25 5 0.25 5 0.25 V
Tensin de entrada nivel rodilla VIH 2.0 a 5.5 2.0 a 7.0 2.0 a 5.5 V
Tensin de entrada nivel janiwi VIL -0.5 a 0.8 -0.5 a 0.8 -0.5 a 0.8 V
Tensin de salida nivel alto VOH
condiciones de funcionamiento: VCC = 4.75, VIH = 2.0
2.4 a 3.4 2.7 a 3.4 2.7 a 3.4 V
Tensin de salida nivel bajo VOL
condiciones de funcionamiento: VCC = 4.75, VIL = 0.8
0.2 a 0.4 0.35 a 0.5 mx 0.5 V
Corriente de salida nivel alto IOH mx -0.8 mx -0.4 mx -1 mA
Corriente de salida nivel bajo IOL mx 16 mx 8 mx 20 mA
Tiempo de propagacin
15.0 9.0 5.0 ns
MULTIPLICADOR DE CUATRO BITS

Pgina 11



Descripcin de las terminales del CI 7408


Pin 1: La entrada A de la compuerta 1.
Pin 2: La entrada B de la compuerta 1.
Pin 3: Aqu veremos el resultado de la operacin de la primer compuerta.
Pin 4: La entrada A de la compuerta 2.
Pin 5: La entrada B de la compuerta 2.
Pin 6: Aqu veremos el resultado de la operacin de la segunda compuerta.
Pin 7 Normalmente GND: Es el polo negativo de la alimentacin, generalmente tierra.
Pin 8: Aqu veremos el resultado de la operacin de la cuarta compuerta.
Pin 9: La entrada B de la compuerta 4.
Pin 10: La entrada A de la compuerta 4.
Pin 11: Aqu veremos el resultado de la operacin de la tercer compuerta.
Pin 12: La entrada B de la compuerta 3.
Pin 13: La entrada A de la compuerta 3.
Pin 14 Normalmente VCC: Alimentacin, es el pin donde se conecta el voltaje de
alimentacin de 5 0.25 voltios.

Funcionamiento de la compuerta AND



MULTIPLICADOR DE CUATRO BITS

Pgina 12


Cada compuerta tiene dos variables de entrada designadas por A y B y una salida binaria
designada por Q. La compuerta AND produce la multiplicacin lgica AND dada por la
siguiente tabla de verdad:
Tabla de Verdad
A B Q
0 0 0
0 1 0
1 0 0
1 1 1

Como se puede observar solamente el resultado ser 1 cuando ambas compuertas lgicas sean 1.
El smbolo de operacin algebraico de la funcin AND es el mismo que el smbolo de la
multiplicacin de la aritmtica ordinaria (*). Las compuertas AND pueden tener ms de dos
entradas y por definicin, la salida es 1 si y solo si todas las entradas son 1.
Por ejemplo:


MULTIPLICADOR DE CUATRO BITS

Pgina 13




Formndose el siguiente circuito:




MULTIPLICADOR DE CUATRO BITS

Pgina 14


1.2 . Materiales

Para el presente trabajo se utilizaron los siguientes materiales:

Protoboards (unidos)


1 fuente de 5 voltios
.
2 metros de cable UTP


3 sumadores de cdigo 74LS83



MULTIPLICADOR DE CUATRO BITS

Pgina 15



4 circuitos integrados de cdigo 7408



8 resistencias de 1Kohm

8 LEDs rojos
2 Switches de 4 bits






MULTIPLICADOR DE CUATRO BITS

Pgina 16




1.3 . Simulacin en Multisim

Realizar un circuito que multiplique dos nmeros de 4 bits usando sumadores totales de 4
bits.

Para ms informacin revisar el CD adjunto al presente trabajo.










MULTIPLICADOR DE CUATRO BITS

Pgina 17



CAPTULO 2: DESARROLLO DEL CIRCUITO

2.1 Procedimiento
1. Primeramente, se procedi a unir los dos Protoboards con fines de facilidades de espacio
para realizar las conexiones.
2. Se procedi a ubicar de manera apropiada los sumadores y circuitos integrados de cuatro
puertas and y los switches de 4 bits.
3. Una vez ubicados se procede a unir tomando en cuenta la posicin de los pines de cada
uno de estos, desarrollando el circuito mostrado en el fundamento terico
(multiplicaciones y sumas de bits)
4. Despus, seguimos con los leds sin olvidar poner las resistencias respectivas para evitar
que estos se quemen
5. Se procede a unir a tierra y a la batera de 5V.
6. Se verifica las conexiones
7. Se procede a conectar los extremos positivo y negativo a la batera para probar el buen
funcionamiento del circuito.
8. Si el funcionamiento del circuito no fue el esperado se procede con el paso seis
nuevamente.

2.2 Descripcin del circuito
El circuito desarrollado en este trabajo tiene como finalidad principal implementar y
observar un circuito multiplicador de dos nmeros de cuatro bits por lo tanto como se puede
observar a continuacin el circuito ha sido netamente desarrollado en el protoboard.
Se us los 3 sumadores 74LS83 para obtener la suma de los productos parciales, en el
extremo derecho.
MULTIPLICADOR DE CUATRO BITS

Pgina 18



Los 4 circuitos integrados 7408 se colocaron del extremo opuesto para asemejarse al
diagrama de bloques.
Los 2 Dip-Switches representan los nmeros a multiplicar cada uno de ellos de 4 bits

Los LEDs representan la respuesta en binario de la multiplicacin solicitada; un led
encendido representa un 1 mientras que uno apagado un 0.
MULTIPLICADOR DE CUATRO BITS

Pgina 19



CAPTULO 3: OBSERVACIONES

A. Se observ que es necesario poner resistencias a los leds para evitar quemarlos y no
cualquier resistencia sino la adecuada para el color de led su voltaje y corriente, para
nuestro caso (led rojo) se utiliz una resistencia de 1KOhm.
B. Una correcta disposicin de las conexiones facilita considerablemente el trabajo manual
de conectar los bloques mencionados en este trabajo.
C. Tambin un aumento de voltaje puede quemar los leds pues la corriente a travs de ellos
tambin debe de aumentar para que se cumpla la ley de Ohm.
D. Equivocarse en una conexin puede cambiar los resultados observados en los leds, se
recomienda registrar adecuadamente las trayectorias de las conexiones para evitar
contratiempos.
E. Se observ que no es necesario conectar todos los pines en el sumador para obtener una
multiplicacin , es decir, se puede adaptar este mismo circuito para implementar un
multiplicador no de 4x4 sino tambin de 4x3, 3x3,3x2, 2x2, etc.
F. Anlogamente, los circuitos integrados con cuatro puertas and funcionan normalmente si
solo se utilizan 3,2 o 1 puerta ands.








MULTIPLICADOR DE CUATRO BITS

Pgina 20



CAPTULO 4: CONCLUSIONES

Se logr implementar un sistema que multiplica dos nmeros de 4 bits cada uno.
Los circuitos integrados ahorran espacio y energa; los sumadores juegan un rol
importante para circuitos aritmticos como este.
Los circuitos multiplicadores son utilizados en la unidad Aritmtica lgica as como
tambin los sumadores y restadores.

















MULTIPLICADOR DE CUATRO BITS

Pgina 21



CAPTULO 5: ANEXO
5.1 Problema 1
Realizar un circuito que sume dos nmeros de catorce bits usando sumadores totales de 4 bits.







MULTIPLICADOR DE CUATRO BITS

Pgina 22




5.2 Problema 2
Mediante un sumador total de 4 bits realizar un circuito que convierta cdigo BCD natural en
Aiken.










MULTIPLICADOR DE CUATRO BITS

Pgina 23




5.3 Problema 3
Realizar un circuito que calcule (A+B) si X=0 y (A-B) si X=1 A,B de 4 bits.









MULTIPLICADOR DE CUATRO BITS

Pgina 24






5.4 Problema 4

Desarrolle un circuito decodificador para calcular el nmero de puertas cerradas en la entrada
sabiendo que el display es de ctodo comn.






Para ms informacin revisar el CD adjunto al presente trabajo.

MULTIPLICADOR DE CUATRO BITS

Pgina 25



BIBLIOGRAFIA
[1]. www.cconclase.nethttp://educativa.catedu.es/44700165/aula/archivos/repositorio/4750/4923/
html/7_sumadores.html

[2]. http://es.wikibooks.org/wiki/Circuito_integrado_7408

[3]. Thomas Floyd. Fundamentos de Sistemas Digitales.7ma Edicin

[4]. http://www.youtube.com/watch?v=_Ew_a9y-avw

También podría gustarte