Está en la página 1de 8

INSTITUTO TECNOLÓGICO DE LERMA

INGENIERÍA ELECTRÓNICA
INFORME DE PRÁCTICA
DE LABORATORIO
Diodos y Transistores/Diseño Digital con VHDL

PRÁCTICA NÚM. 4
“Sumador Binario de 4 bits”

FECHA DE REALIZACIÓN DE LA PRÁCTICA: [01/03/24]


CARRERA: [Ingeniería Electrónica]
MATERIA: [Diseño Digital con VHDL]
TEMA: [Programación VHDL]

OBJETIVO:

El objetivo de la práctica es programar un sumador de 4 bits en VHDL.

EQUIPO:
INTEGRANTES DEL EQUIPO:

[LUIS DAMIAN SAMARRON CANTARELL]

FECHA DE ENTREGA DEL INFORME DE PRACTICA DE [03/03/2024]


LABORATORIO:

NOMBRE DEL PROFESOR: Ing. Fernando Abraham Escalante Guerrero

RESUMEN DE LA PRÁCTICA:

[La práctica consiste en copiar el programa del archivo y simularlo en Quartus, compilarlo y programarlo en
la tarjeta FPGA ALTERA MAX II.]

FUENTES DE INFORMACIÓN:
INSTITUTO TECNOLÓGICO DE LERMA
INGENIERÍA ELECTRÓNICA
INFORME DE PRÁCTICA
DE LABORATORIO
Diodos y Transistores/Diseño Digital con VHDL

1. Morris Mano M. (2005) Fundamentos de Diseño Lógico y de Computadoras, Tercera edición,


Pearson, México.
2. Tocci R. J. (2007), Sistemas digitales Principios y Aplicaciones, 10a edición, Pearson, México.
3. Marcovitz, A. B. (2005). Diseño Digital, Segunda Edición. Mc Graw Hill.

DATOS, CÁLCULOS, TABULACIÓN DE RESULTADOS:

La práctica consistió hacer un sumador de 4 bits como se muestra en la siguiente imagen:

En este caso yo maneje el hacer un sumador completo para poder declararlo en el programa
final, ya que así solo declaro las entradas de cada componente y a su vez me ahorro el hacer
INSTITUTO TECNOLÓGICO DE LERMA
INGENIERÍA ELECTRÓNICA
INFORME DE PRÁCTICA
DE LABORATORIO
Diodos y Transistores/Diseño Digital con VHDL

todas las interconexiones que se ven en el esquemático.

El hacer por bloques el programa queda el diagrama como la imagen anterior.


El programa final es el que se muestra a continuación:

Al momento de sumar los estado las altos para ver el funcionamiento del acarreo final.
INSTITUTO TECNOLÓGICO DE LERMA
INGENIERÍA ELECTRÓNICA
INFORME DE PRÁCTICA
DE LABORATORIO
Diodos y Transistores/Diseño Digital con VHDL

La suma realizada es 1111+1111 lo cual da como resultado 11110, lo cual al hacer la simulación
nos da como resultado la suma correcta.

A su vez al programar la placa y hacer las conexiones pertinentes se tiene como resultado el de
la simulación:
INSTITUTO TECNOLÓGICO DE LERMA
INGENIERÍA ELECTRÓNICA
INFORME DE PRÁCTICA
DE LABORATORIO
Diodos y Transistores/Diseño Digital con VHDL
INSTITUTO TECNOLÓGICO DE LERMA
INGENIERÍA ELECTRÓNICA
INFORME DE PRÁCTICA
DE LABORATORIO
Diodos y Transistores/Diseño Digital con VHDL
INSTITUTO TECNOLÓGICO DE LERMA
INGENIERÍA ELECTRÓNICA
INFORME DE PRÁCTICA
DE LABORATORIO
Diodos y Transistores/Diseño Digital con VHDL
INSTITUTO TECNOLÓGICO DE LERMA
INGENIERÍA ELECTRÓNICA
INFORME DE PRÁCTICA
DE LABORATORIO
Diodos y Transistores/Diseño Digital con VHDL

CONCLUSIÓN:

Tengo que concluir diciendo que esta practica costo un poco mas de trabajo, ya que al manejar tantas
compuertas e interconexiones hace que el programa se haga complicado, pero si hacer componentes y los
declaras se hace más fácil el programa.

También podría gustarte