Está en la página 1de 12

Fase 2 - Análisis de Circuitos Combinacionales

Estudiantes:
Juan Felipe Perdomo Naveros
1084330381

Grupo: 59

Universidad Nacional Abierta y a Distancia – UNAD


Escuela de Ciencias Básicas, Tecnológicas e Ingeniería – ECBTI
Ingeniería de Telecomunicaciones
Abril del 2024
Introducción
En el siguiente trabajo se dará a conocer el uso correcto de la aplicación de
edaplayground y como poder utilizar los códigos para su implementación en la aplicación
y como utilizar el diseño de VHDL para darle la solución a codificadores y
decodificadores de varias entradas y una única salida, también el uso correcto de las tablas
de verdad para la solución y programación de decodificadores en VHDL.
Objetivos
• utilizar la página EDAPLAYGROUND
• Conocer mas acerca de la implementación de códigos
• Uso de diseño VHDL
Conocer mas de los decodificadores
Como usar las tablas de verdad
La actividad consiste en:
1. Preguntas orientadoras: Defina con sus propias palabras los siguientes conceptos
luego de hacer la búsqueda en los textos guías.

• Multiplexor: Un multiplexor es un circuito digital que permite seleccionar una de varias


entradas de datos y dirigirla a una única salida. Se utiliza para reducir el número de líneas
de datos necesarias para transmitir información.

• Demultiplexor: Un demultiplexor es el circuito digital opuesto a un multiplexor. Toma


una señal de datos de una sola entrada y la distribuye en varias salidas, cada una de las
cuales puede estar activa o inactiva dependiendo del valor de la señal de entrada. Se utiliza
para dividir una señal en varias partes.

• Decodificador: Un decodificador es un circuito digital que convierte un código binario


en una señal más legible para un humano o un dispositivo electrónico. Se utiliza para
interpretar la información codificada.

• Codificador: Un codificador es un circuito digital que realiza la operación inversa a un


decodificador. Convierte una señal legible para un humano o un dispositivo electrónico
en un código binario. Se utiliza para representar información de manera compacta y
eficiente.

• ALU (Unidad Lógico Aritmética): La ALU (Unidad Lógico Aritmética) es un


componente fundamental de un procesador central. Es responsable de realizar
operaciones aritméticas y lógicas sobre los datos. Las operaciones aritméticas incluyen
suma, resta, multiplicación y división. Las operaciones lógicas incluyen AND, OR, XOR
y NOT. La ALU es esencial para el funcionamiento de cualquier computadora, ya que se
utiliza en una amplia variedad de tareas, como calcular direcciones de memoria, procesar
entradas y salidas y ejecutar instrucciones de software.

• ¿Cuáles son las estructuras de control de decisión en VHDL?: VHDL (Very High-
Density Logic Description Language) es un lenguaje de descripción de hardware que se
utiliza para diseñar circuitos digitales. VHDL ofrece varias estructuras de control de
decisión para permitir que los diseñadores especifiquen el flujo de ejecución del código
en función de condiciones específicas.
Reto 1: Hacer la descripción en vhdl de un multiplexor 8 a 1 implementando la estructura
with select. 3 BITS.

• Impresión de Pantalla de la descripción en VHDL


• Impresión de Pantalla del resultado (diagrama de tiempo) de la simulación, en el cual se
debe evidenciar el correcto funcionamiento del diseño.

LINK: https://www.edaplayground.com/x/fFNU
2.2 Diseño codificador
Diseñar un codificador donde se tiene 10 entradas, cada entrada corresponde a un interruptor de
un teclado de la calculadora, es decir, permite expresar los números del cero al nueve, y se tiene
cuatro salidas para expresar en código binario cada una de las posiciones del teclado (ver figura
4).
a. Reto 2: Hacer la descripción en vhdl de un codificador 10 a 4 implementando la
estructura with select.

• Impresión de Pantalla de la descripción en VHDL.


• Impresión de Pantalla de la simulación, en el cual se debe evidenciar el correcto
funcionamiento del diseño

LINK: https://www.edaplayground.com/x/Uza9
2.3 Diseño decodificador
Diseñar un decodificador/controlador de BCD a 7 segmentos, esta configuración se utiliza para
tomar una entrada BCD de cuatro bits y proporcionar las salidas que pasarán corriente a través de
los segmentos apropiados para que se visualice el dígito decimal.
a. Reto 3: Hacer la descripción en vhdl de un decodificador BCD a 7 Segmentos
implementando la estructura with select.

• Consultar el siguiente video orientador: Fajardo, C. (2019, abril 15), Decodificador en


EDAPlayground [Archivo de video]. https://youtu.be/QfHij7BHo2Y • Impresión de
Pantalla de la descripción en VHDL.
• Impresión de Pantalla de la simulación, en el cual se debe evidenciar el correcto
funcionamiento del diseño}

LINK: https://www.edaplayground.com/x/QmkF
ENTRADAS SALIDAS NUMERO
A3 A2 A1 A0 a b c d e f g
0 0 0 0 1 1 1 1 1 1 0 0
0 0 0 1 0 1 1 0 0 0 0 1
0 0 1 0 1 1 0 1 1 0 1 2
0 0 1 1 1 1 1 1 0 0 1 3
0 1 0 0 0 1 1 0 0 1 1 4
0 1 0 1 1 0 1 1 0 1 1 5
0 1 1 0 1 0 1 1 1 1 1 6
0 1 1 1 1 1 1 0 0 0 0 7
1 0 0 0 1 1 1 1 1 1 1 8
1 0 0 1 1 1 1 0 0 1 1 9
1 0 1 0 X X X X X X X X
1 0 1 1 X X X X X X X X
1 1 0 0 X X X X X X X X
1 1 0 1 X X X X X X X X
1 1 1 0 X X X X X X X X
1 1 1 1 X X X X X X X X
2.4 Unidad Lógica Aritmética (ALU)
Diseñar una ALU, que realice las operaciones sumas, resta, AND y OR, entre la Entrada A y B
como se indica en el circuito figura 6.
a. Reto 4: Describa en VDHL el circuito que se muestra en la figura, utilizando la sentencia
when-else.

• Una Impresión de Pantalla de la descripción en VHDL.


• Una Impresión de Pantalla de la simulación, en el cual se debe evidenciar el correcto
funcionamiento del diseño

LINK: https://www.edaplayground.com/x/SHgD
2.5 Archivo de alto nivel o descripción estructural
Describa en VDHL el circuito que se muestra en la siguiente figura 7. El diseño debe contener
tres módulos diferentes (tres componentes) y un archivo de alto nivel, tal como se muestra en la
siguiente figura.

• Consultar el siguiente video orientador: Fajardo, C. (2019, abril 15), Archivo de Alto
Nivel en EDAPlayground [Archivo de video]. https://youtu.be/58et3bq9WTA
• Impresión de Pantalla de la descripción en VHDL.
• Impresión de Pantalla de la simulación, en el cual se debe evidenciar el correcto
funcionamiento del diseño

LINK: https://www.edaplayground.com/x/vHcW
Conclusiones
Con este trabajo podemos concluir totalmente el uso correcto y el funcionamiento de la
aplicación o aplicativo de EDAPLAYGROUND y como por medio del código que se
crea y desarrolla poder mostrarse y graficar en dicha aplicación, también se pudo conocer
el uso de las funciones y códigos VHDL, también la soluciones y uso de decodificadores
de varias entradas y una única salida.
Referencias Bibliográficas

• Tocci. R. (2017). Sistemas Digitales: Principios y


Aplicaciones.(Ccapítulo 3, pp 104-183) https://ebooks7-
24-
com.bibliotecavirtual.unad.edu.co/stage.aspx?il=7393&p
g=&ed=
• Maxinez, D. (2015). Programación de sistemas digitales
con VHDL. (Capítulo 2, y 9, pp.27-36, 209-
216). https://elibro-
net.bibliotecavirtual.unad.edu.co/es/ereader/unad/3946
0?page=1

• Rodríguez, O. (2018). Sistemas embebidos con VHDL.


Editorial Parmenia, Universidad La Salle México.
(Capítulo 2, pp.78-99). https://elibro-
net.bibliotecavirtual.unad.edu.co/es/ereader/unad/1834
94?page=129

También podría gustarte