Está en la página 1de 18

Tarea 3 – Circuitos combinacionales

Electrónica digital

Presentado al tutor:

Presentado por el estudiante:

Grupo: X

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA – UNAD

ESCUELA DE CIENCIAS BÁSICAS, TECNOLOGÍA E INGENIERÍA


INTRODUCCIÓN

En este trabajo se encuentra consignado el desarrollo de la actividad propuesta en el curso de

electrónica digital donde se hace uso de la página EDA Play Ground para desarrollar los

ejercicios en lenguaje VHDL.

Entre los ejercicios que se van a desarrollar se encuentra un circuito multiplexor 8-1, un circuito

decodificador 2 a 4, un circuito codificador de 4 entradas, un circuito sumador y un circuito de

alto nivel que cuenta con múltiples funcionalidades.

Para cada ejercicio se muestra el código implementado y adicionalmente se realiza una

simulación en donde se puede evidenciar su correcto funcionamiento.


OBJETIVOS

Objetivo general:

Diseñar circuitos digitales combinacionales a partir de código en la página EDA Play Ground en

lenguaje VHDL.

Objetivos específicos:

 Diseñar la lógica de los circuitos multiplexores en lenguaje VHDL.

 Diseñar la lógica de los circuitos codificadores y decodificadores en lenguaje VHDL.

 Diseñar un circuito de alto nivel en donde se realice cada uno de los circuitos por aparte y

se unan para realizar una sola tarea en conjunto en lenguaje VHDL.

 Implementar cada uno de los circuitos combinacionales en la página EDA Play Ground y

comprobar su correcto funcionamiento.


DESARROLLO DE LAS ACTIVIDADES

1. Describa en VDHL un multiplexor 8 a 1 utilizando la sentencia with-select.

a. ¿Qué es un multiplexor?

Un multiplexor, abreviado como «MUX» o «MPX», es un dispositivo, circuito lógico

combinatorio, que permite seleccionar una o más señales de entrada analógicas o digitales de

baja velocidad, combinarlas y transmitirlas a mayor velocidad en un único medio compartido

o dentro de un único dispositivo compartido. Así, varias señales pueden compartir un único

dispositivo o conductor de transmisión, como un cable de cobre o un cable de fibra óptica.

Un MUX funciona como un conmutador de entrada múltiple y salida única.

b. Un Impresión de Pantalla de la descripción en VHDL

El código que se implemento para realizar el multiplexor 8 a 1 con 3 selectores se puede

observar en la siguiente captura de pantalla:


c. Un Impresión de Pantalla del resultado (diagrama) de la simulación, en el cual se debe

evidenciar el correcto funcionamiento del diseño.

El resultado de la simulación se puede observar en la siguiente captura de pantalla:

2. Describa en VDHL un decodificador 2 a 4 utilizando la sentencia with-select.

El diseño debe contener:

a. ¿Qué es un decodificador?

El decodificador es un dispositivo que acepta una entrada digital codificada en binario y

activa una salida. Este dispositivo tiene varias salidas, y se activará aquella que establezca el

código aplicado a la entrada.

Con un código de n bits se pueden encontrar 2n posibles combinaciones. Si se tienen 3 bits (3

entradas) serán posibles 23 = 8 combinaciones. Una combinación en particular activará solo

una salida.
En un decodificador de 2 a 4 (se tienen 2 pines o patitas de entrada y 4 pines o patitas de

salida). En la entrada se pone el código en binario (00, 01, 10, 11), que hará que se active

solo una salida de las cuatro posibles.

b. Una Impresión de Pantalla de la descripción en VHDL.

El código que se implementó para realizar el codificador 2 a 4 se puede observar en la

siguiente captura de pantalla:

c. Una Impresión de Pantalla de la simulación, en el cual se debe evidenciar el correcto

funcionamiento del diseño.

El resultado de la simulación se puede observar en la siguiente captura de pantalla:


3. Describa en VDHL un codificador de 4 entradas, sin prioridad, utilizando la sentencia

with-select.

El diseño debe contener:

a. ¿Qué es un codificador?

Los codificadores son circuitos integrados digitales que se utilizan para la codificación. Por

codificación, nos referimos a generar un código binario digital para cada entrada. Un

codificador generalmente consta de un pin de habilitación que generalmente se establece en

alto para indicar el funcionamiento. Consiste en 2n líneas de entrada y n líneas de salida y

cada línea de entrada está representada por un código de ceros y unos que se reflejan en las

líneas de salida.

b. Una Impresión de Pantalla de la descripción en VHDL.

El código que se implementó para realizar el codificador con 3 entradas se puede observar en

la siguiente captura de pantalla:


c. Una Impresión de Pantalla de la simulación, en el cual se debe evidenciar el correcto

funcionamiento del diseño.

El resultado de la simulación se puede observar en la siguiente captura de pantalla:

4. Describa en VDHL el circuito que se muestra en la siguiente figura:


a. Utilizando la sentencia with-select.

b. Utilizando la sentencia when-else.

El diseño debe contener:

a. Una Impresión de Pantalla de la descripción en VHDL.

El código que se implementó para realizar el circuito con la sentencia with-select se puede

observar en la siguiente captura de pantalla:

El código que se implementó para realizar el circuito con la sentencia when-else se puede

observar en la siguiente captura de pantalla:


b. Una Impresión de Pantalla de la simulación, en el cual se debe evidenciar el correcto

funcionamiento del diseño.

El resultado de la simulación con la sentencia with-select se puede observar en la siguiente

captura de pantalla:
El resultado de la simulación con la sentencia when-else se puede observar en la siguiente

captura de pantalla:

5. Describa en VDHL el circuito que se muestra en la siguiente figura. El diseño debe

contener tres módulos diferentes (tres componentes) y un archivo de alto nivel, tal como

se muestra en la siguiente figura:

El diseño debe contener:

a. Una Impresión de Pantalla de la descripción en VHDL.


Para realizar el diseño del circuito mostrado en la figura es necesario realizar 4 códigos

por aparte, los cuales son un sumador, un restado, un selector y un código que se

encargue de unir los otros 3.

El código que se implementó para realizar el sumador se puede observar en la siguiente

captura de pantalla:

El código que se implementó para realizar el restador se puede observar en la siguiente

captura de pantalla:
El código que se implementó para realizar el selector se puede observar en la siguiente

captura de pantalla:
El código que se implementó para unir los 3 códigos se puede observar en la siguiente

captura de pantalla:
b. Una Impresión de Pantalla de la simulación, en el cual se debe evidenciar el correcto

funcionamiento del diseño.

El resultado de la simulación se puede observar en la siguiente captura de pantalla:


CONCLUSIONES

 EDA Play Ground es una página de gran utilidad dentro del curso ya que con ella es posible

simular circuitos combinacionales en lenguaje VHDL y observar cómo es su

comportamiento.

 Con el lenguaje VHDL es posible realizar diseños de circuitos como los que se realizaron en

esta práctica (multiplexores, decodificadores, codificadores, sumadores, entre otros).

 El lenguaje VDHL permite realizar programas de alto nivel en donde se pueden “unir” varios

archivos de código para desarrollar un componente, como lo fue para el caso del circuito de

alto nivel donde se realizó un sumador, un restador y un selector para desarrollar un solo

circuito.
REFERENCIAS

R. (2020, 6 mayo). Circuito multiplexor y cómo funciona, tipos y aplicaciones. Recuperado de

https://descubrearduino.com/multiplexor/

Electrónica Unicrom. (2020, 30 julio). El decodificador. Recuperado de

https://unicrom.com/decodificador/

J.L, B. (2021, 22 mayo). Codificadores y decodificadores. Recuperado de

https://electronicaonline.net/electronica-digital/codificadores-y-decodificadores/

También podría gustarte