Está en la página 1de 36

Facultad de Informática y Ciencias Aplicadas

Escuela de Informática

Asignatura: Electrónica

Docente: Ing. José Mauricio Rivera Mejía

Actividad: Practica 05

Tema: El Decodificador

Alumnos:

ALUMNOS No DE CARNET
Ángel Clara, Kevin Guillermo 29-6274-2015
Guzmán Arenívar Juan Carlos 29-4542-2012
Ochoa Arias, Francisco Ernesto 29-6187-2015
Valdebran Cruz, Patrick Estuardo 29-1980-2019

San Salvador 20 de julio de 2022


INDICE

Contenido
INTRODUCCION .............................................................................................................................. 3
DESARROLLO DE LA PRACTICA ............................................................................................ 12
DESARROLLO DE LOS OBJETIVOS ................................................................................... 12
CONCLUSIONES........................................................................................................................... 35
BIBLIOGRAFIA .............................................................................................................................. 36
INTRODUCCION
La electrónica en la actualidad es uno de los campos más importantes en el diario
vivir de las personas. Hoy en día, el uso de computadores, celulares, tabletas, cámaras,
entre otros, es una herramienta fundamental en puestos de trabajo y en educación.
Además, estos dispositivos también son utilizados como entretenimiento de grandes y
chicos, en general. Esta dependencia que tiene la población mundial a los equipos
electrónicos no hubiera sido posible sin la coordinación de una serie de circuitos y
componentes que hacen que cada diseño funcione a la perfección. Una de estas piezas
o componentes son los decodificadores.
Un decodificador se puede definir, según Tocci y Widmer (2003), como un circuito
lógico que acepta un conjunto de entradas. Representa un número binario y solo activa
la salida que corresponde a ese número de entrada. Este dispositivo tiene varias salidas,
y se activará aquella que establezca el código aplicado a la entrada. Para esto existe
una característica fundamental que permite que, para cada combinación de entradas,
sólo una de las salidas tiene un nivel lógico diferente a las demás (Mano, 1994).
OBJETIVOS
Objetivo General

• Estudiar los decodificadores, comprendiendo el concepto de combinacional y su


aplicación.

Objetivos Específicos

1. Desarrollar los resultados teóricos y experimentales explicando el funcionamiento


de los decodificadores.
2. Aprender a utilizar y medir los decodificadores según se han establecido en
la práctica.
3. Verificar el funcionamiento de los Decodificadores excitado y no excitado.
MARCO TEÓRICO

Las compuertas lógicas son dispositivos digitales básicos que realizan una operación
lógica de suma, producto, y complementación en forma aislada. - Los circuitos
combinacionales, son una combinación de compuertas lógicas de diferentes tipos (AND,
OR, NOT) etc de “n” entradas y “m” salidas en función de la “n” entradas; que realizan
operaciones de suma, producto, y complementación en forma simultánea.
Los sistemas digitales contienen datos o información que está en alguna forma de
código
binario, los cuales se operan de alguna manera. - En esta parte se examinan circuitos
combinatorios, cuyas aplicaciones incluyen:
Cambio de datos de una forma a otra.
Tomar datos y enlutarlos a uno de varios destinos.
Decodificación de datos para despliegues visuales.

Muchos de los circuitos lógicos que cumplen estas funciones están ahora como circuitos
integrados en la categoría de Mediana Escala de Integración (MSI), es decir que para
su construcción utilizan de 10 a 99 compuertas para su fabricación.
Ejemplos de circuitos combinatorios en forma integrada son:
El Decodificador.
El Codificador.
El Multiplexor.
El Demultiplexor.
El Comparador de Magnitud.
El Sumador.

Un Decodificador es un circuito lógico combinacional, que convierte un código de


entrada binario de N bits en M líneas de salida (N puede ser cualquier entero y M es un
entero menor o igual a 2ⁿ), tales que cada línea de salida será activada para una sola
de las combinaciones posibles de entradas. - La figura 1, muestra de diagrama general
de un decodificador de N entradas y M salidas.
Puesto que cada una de las entradas puede ser 1 o 0, hay 2ⁿ combinaciones o códigos
de entrada. - Para cada una de estas combinaciones de entrada sólo una de la
M salidas estara´activada en 1, para lógica positiva y un 0, para lógica negativa. - Esta
última se indica siempre por la presencia de pequeños círculos en las líneas de salida
del diagrama del decodificador.
La figura 2, muestra la circuitería para un decodificador con 3 entradas y 2³= 8 salidas.
Como solo usan compuertas AND las salidas activadas son 1.- Para tener salidas
activadas 0 deberían usarse compuertas NAND.

Fig 2. Decodificador Binario a Octal


Puede hacerse referencia a este decodificador de distintas maneras, todas ellas válidas
y usuales. Pude llamarse un decodificador de 3 líneas a 8 líneas (3x8), porque tiene tres
líneas de entrada y ocho de salida. También recibe el nombre de convertidor o
decodificador de binario a octal, porque toma un código de entrada binario de tres
entradas y produce un 1 en una de las ocho (octal) salidas correspondientes a ese
código. A veces se hace referencia al circuito como un decodificador 1 de 8, porque una
de las 8 salidas se activa a la vez.

A continuación, se muestra la tabla de verdad para este decodificador (SN74LS138).

Cabe hacer notar que las salidas del decodificador son activas en bajo y que las entradas de
control G2A, G2B deben estar a nivel bajo y G1 a nivel alto.

La distribución del pinuot se muestra en la figura 3.

Figura 3. a) Diagrama de Bloque del convertidor b) pinout del decoder 74138


Otro tipo de decodificadores tienen como aplicación fundamental la activación
de visualizadores numéricos (display) del tipo de siete segmentos. - En general,
los decodificadores excitadores permiten el control de cargas externas como led, relés,
displays, etc.- Las salidas pueden operar con tensiones y corrientes mayores que las
del resto de decodificadores. - El modelo más representativo es el de excitación de
visualizadores numéricos del tipo de siete segmentos, display (figura 4). Los números
en código BCD aplicados a la entrada, producen un código de siete salidas que hace
que aparezca en el visualizador el número decimal correspondiente al dato BCD de
entrada.

Display de 7 segmentos

Un display de siete segmentos consiste en una determinada distribución de siete LED


en el bloque, cada uno de los puntos luminosos (LED), aparece externamente en forma
de barra denominada segmento.- Según los segmentos activados, puede visualizarse
los números decimales 0 al 9.- Por ejemplo activando los segmentos a, b, c, d, y g se
obtiene la representación del número decimal 3.- La activación de los siete segmentos
de lugar al número 8, o sea que la visualización de cada número se hace activando los
segmentos adecuados.-
Aparecen dos tipos de display: los de cátodo común y los de ánodo común. - En los de
cátodo común, como su nombre lo indica, se unen internamente los cátodos de los siete
diodos, apareciendo en el exterior los siete pines de los segmentos y el pin común.
Las condiciones normales de operación de cada segmento de un dispositivo de
representación visual de siete segmentos basado en LED, son 20mA a 1.5V, por lo que
la resistencia de protección estandar para el display será de 220Ω.
Un decodificador de BCD a siete segmentos posee 4 líneas de entrada (D, C, B,
A) y siete líneas de salida (a, b, c, d, e, f, g).- El dispositivo acepta en sus entradas
un código BCD de 4 bits y lo convierte en un código de 7 bits que al excitar un
display visualiza el dígito decimal correspondiente. Las salidas de un decodificador
de BCD a siete segmentos puede ser activas en alto o en bajo dependiendo del
tipo de display utilizado. - En un decodificador de ánodo común, las salidas son
activas en bajo y en uno de cátodo común son activas en alto. Existen varios
decodificadores MSI de BCD a 7 segmentos diseñados específicamente para
manejar display de ánodo común y/o cristal líquido. - Los siguientes son algunos
ejemplos:

4055, 4056, 4543: Decodificadores para display de cristal líquido.


4511, 7478, 74LS48, 74C48, 8368: Decodificador para display de cátodo común.
7447, 74LS47, 74LS247, 8374: Decodificador para display de ánodo común.
Materiales y equipo
• 1 Decodificador SN74LS47.
• 1 Decodificador/demultiplexor SN74LS138.
• 1 Beckman (resistencia integrada de 220Ω).
• 1 Display de ánodo común.
• Alambre para conexiones.
• 1 Pinza.
• 1 Navaja.
• 1 Probador Lógico.
• 1 Modulo de entrenamiento digital IDL-800 (Simulador Digital).
• 1 computadora

11
DESARROLLO DE LA PRACTICA

DESARROLLO DE LOS OBJETIVOS


OBJETIVO A
- Comprobar el funcionamiento de un decodificador excitado.
• Utilizando el simulador digital alambre un el decodificador SN74LS47, un tablero
de leds, un tablero de interruptores, y un display respectivamente como se
muestra en la figura.

12
• Conecte el pin 16 del decoder a la polarización de la fuente de +5Vdc del
módulo.
• Conecte el pin 8 del decoder a tierra(GND) del módulo.
• Conecte las entradas de código BCD (DCBA) del decodificador a los
interruptores del módulo, considerando D como el bit más significativo, es decir
al lado izquierdo. - Coloque los interruptores en condición inicial de 0 lógico.
• Conecte la entrada de control LT (pin 3) del decodificador a un interruptor, y
colóquelo en condición inicial de 0 lógico.
• Active el módulo y observe el display. – Todos los segmentos deberán iluminarse
ya que la entrada de control esta activa (0 lógico). Está es una condición de
prueba de todos los segmentos del display. Si no sucede nada, apague el
módulo y revise las conexiones. Active de nuevo el módulo, sí no sucede nada,
con la punta lógica verifique las polarizaciones de todos los dispositivos.
• Sí todos los segmentos se iluminan, deshabilite la entrada de control LT (pin 3)
colocando con el interruptor un 1 lógico.
• Deberá observar en el display el decimal cero correspondiente a la entrada de
código BCD 0000 (DCBA).

13
¿Qué número decimal se despliega con el código BCD de entrada: 0010?
R/ 2

• ¿Cuáles segmentos se iluminarán al desplegarse el decimal: 5?


___a, f, g, c , d___

14

¿Qué código BCD de cuatro bits se deberá colocar para iluminar los
segmentos: a, b, c, f, g? R/ 1001

15
• Dibuje la representación del display para la entrada de código BCD:

a. 1010

b. 1011

c. 1100

d. 1101

e. 1110

f. 1111

16
• ¿Qué razonamiento lógico puede decir de estos resultados?
El decodificador encuentra la clave necesaria para activar el segmento deseado
a base de la presencia o no presencia de corriente en puntos concretos del
mismo. Ya que los switch que usamos limitan el flujo dentro del mismo, razón por
la cual se puede asignar la respuesta deseada.

• ¿Qué cambios hará, si utiliza un decodificador SN74LS48?


La diferencia entre el SN74LS47 y el SN74LS48 es que ambos son opuestos
directos uno del otro, Depende de como lo realicemos con regulador o visualizador
así será el resultado que obtendremos ya que mientras en uno las regiones se
encienden con 1, en otro se encienden con 0.

OBJETIVO B.
Comprobar el funcionamiento de un decodificador no excitado.
Utilizando el simulador implemente el diagrama del decodificador no excitado
SN74LS138.

17
• Una vez terminado el diagrama simule el circuito

• Con las entradas de control G1, G2A, G2B compruebe la habilitación y


deshabilitación del decoder. En la condición de deshabilitación todos los display
logic se activarán.

18
19
• Recuerde que en este tipo de decodificador solo una salida se activa a la vez, y
las salidas son bajas activas.
• ¿En qué estado lógico deben de estar las entradas de control para que el
decodificador trabaje?
G1 1, G2A 0, G2B 0.
• ¿Qué código debe de colocar para que la salida Y6 se active? 001

20
• ¿Qué salida se activará con el código 011? Y4

• ¿Porque se le llama a este decodificador 1 de 8?


Se le llama asi ya que se cuenta con 3 lineas de entrada y 8 lineas de salida, asi
también se le conoce como convertidor porque entra un código binario de tres
entras y produce un numero en un octal el cual es el representante del código.

21
• Diseñe un decodificador 1 de 16 utilizando 2 SN74LS138.

CUESTIONARIO.
1. Utilizando circuitos combinatorios diseñe un convertidor de código de
binario (4bits) a decimal.
Use la condición no importa, display decimal.
DEC A B C D a b c d e f g
0 0 0 0 0 1 1 1 1 1 1 0
1 0 0 0 1 0 1 1 0 0 0 0
2 0 0 1 0 1 1 0 1 1 0 1
3 0 0 1 1 1 1 1 1 0 0 1
4 0 1 0 0 0 0 1 0 0 1 1
5 0 1 0 1 1 1 1 1 0 1 1
6 0 1 1 0 1 1 1 1 1 1 1
7 0 1 1 1 1 1 1 0 0 0 0
8 1 0 0 0 1 1 1 1 1 1 1
9 1 0 0 1 1 1 1 0 0 1 1
a 1 0 1 0 1 1 1 0 1 1 1
b 1 0 1 1 0 0 1 1 1 1 1
c 1 1 0 0 1 1 0 1 1 1 0
d 1 1 0 1 0 0 1 1 1 0 1
e 1 1 1 0 1 1 0 1 1 1 1
f 1 1 1 1 1 1 0 0 1 1 1

22
Para A SOP
C D 0 0 0 1 1 1 1 0 (ABCD) ∑ (0,2,3,5,6,7,8,9)+∑(10-15)
0 0 1 0 X 1 A+C+BD+B+D
0 1 0 1 X 1
1 1 1 1 X 1
1 0 1 1 X X

Para B SOP
C D 0 0 0 1 1 1 1 0 (ABCD) ∑ (0,1,2,3,4,7,8,9)+∑(10-15)
0 0 1 1 X 1 A+B'+CD+C'D'
0 1 1 0 X 1
1 1 1 1 X X
1 0 1 0 X X

Para C SOP
C D 0 0 0 1 1 1 1 0 (ABCD) ∑ (0,1,2,4,5,6,7,8,9)+∑(10-15)
0 0 1 1 X 1 A+C'+B+CD
0 1 1 1 X 1
1 1 1 1 X 1
1 0 0 1 X X

Para D SOP
C D 0 0 0 1 1 1 1 0 (ABCD) ∑ (0,2,3,5,6,8,9)+∑(10-15)
0 0 1 0 X 1 AB+D'+CA
0 1 0 1 X 1
1 1 1 0 X 1
1 0 1 1 X X

Para E SOP
C D 0 0 0 1 1 1 1 0 (ABCD) ∑ (0,2,6,8)+∑(10-15)
0 0 1 0 X 1 AB+CA+C'D+B'D'
0 1 0 0 X 0
1 1 0 0 X X
1 0 1 1 X X

23
Para F SOP
C D 0 0 0 1 1 1 1 0 (ABCD) ∑ (0,4,5,6,8,9)+∑(10-15)
0 0 1 1 X 1 A+C'B+C'D'+D'B
0 1 0 1 X 1
1 1 0 0 X X
1 0 0 1 X X

Para G SOP
C D 0 0 0 1 1 1 1 0 (ABCD) ∑ (2,3,4,5,6,7,8,9)+∑(10-15)
0 0 0 1 X 1 B+A+C
0 1 0 1 X 1
1 1 1 1 X X
1 0 0 1 X X

24
25
2. Utilizando circuitos combinatorios diseñe un convertidor de código de
binario(4bits) a hexadecimal. Use display hexadecimal.

DEC A B C D a b c d e f g
0 0 0 0 0 1 1 1 1 1 1 0
1 0 0 0 1 0 1 1 0 0 0 0
2 0 0 1 0 1 1 0 1 1 0 1
3 0 0 1 1 1 1 1 1 0 0 1
4 0 1 0 0 0 1 1 0 0 1 1
5 0 1 0 1 1 0 1 1 0 1 1
6 0 1 1 0 0 0 1 1 1 1 1
7 0 1 1 1 1 1 1 0 0 0 0
8 1 0 0 0 1 1 1 1 1 1 1
9 1 0 0 1 1 1 1 0 0 1 1
a 1 0 1 0 1 1 1 0 1 1 1
b 1 0 1 1 0 0 1 1 1 1 1
c 1 1 0 0 1 0 0 1 1 1 0
d 1 1 0 1 0 1 1 1 1 0 1
e 1 1 1 0 1 1 0 1 1 1 1
f 1 1 1 1 1 0 0 0 1 1 1

Para A SOP
C D 0 0 0 1 1 1 1 0 (ABCD) ∑ (0,2,3,5,6,7,8,9,10,12,14,15)
0 0 1 0 1 1 CD'+CA'+CB+C'D+DA'B+D'B
0 1 0 1 0 1
1 1 1 1 1 0
1 0 1 1 1 1

Para B SOP
C D 0 0 0 1 1 1 1 0 (ABCD) ∑ (0,1,2,3,4,7,8,9,10,13,14)
0 0 1 1 0 1 C'D'A'+A'B'+CDA'+CD'A+B'D'+C'DA
0 1 1 0 1 1
1 1 1 1 0 0
1 0 1 0 1 1

26
Para C SOP
(ABCD) ∑
C D 0 0 0 1 1 1 1 0
(0,4,5,6,8,9,10,11,12,13,14,15)
0 0 1 1 0 1 AB'+A'B'+C'D+C'A+DA')
0 1 1 0 1 1
1 1 1 1 0 0
1 0 1 0 1 1

Para D SOP
C D 0 0 0 1 1 1 1 0 (ABCD) ∑ (0,2,3,5,6,8,11,12,13,14)
0 0 1 0 1 1 CD'B+CA'B'+C'D'B'+C'AB+C'DB'CDB'
0 1 0 1 0 1
1 1 1 1 1 0
1 0 1 1 1 1

Para E SOP
C D 0 0 0 1 1 1 1 0 (ABCD) ∑ (0,2,6,8,10,11,12,13,14,15)
0 0 1 1 0 1 CD'+C'AB+CDA+AB'+D'B
0 1 1 0 1 1
1 1 1 1 0 0
1 0 1 0 1 1

Para F SOP
(ABCD) ∑
C D 0 0 0 1 1 1 1 0
(0,4,5,6,8,9,10,11,12,13,14,15)
0 0 1 1 0 1 C'D'+C'A'B+CDA+AB'+D'B
0 1 1 1 1 1
1 1 1 1 0 1
1 0 0 1 0 1

Para G SOP
(ABCD) ∑
C D 0 0 0 1 1 1 1 0
(2,3,4,5,6,7,8,9,10,11,13,14,15)
0 0 0 0 1 1 C+A'B+AB'+DB
0 1 1 1 1 1
1 1 0 1 1 1
1 0 1 1 1 1

27
3. Utilizando circuitos combinatorios diseñe un convertidor de código de
binario(4bits) a EX-3. Use display logic
BCD Exceso
DEC A B C D a b c d
0 0 0 0 0 0 0 1 1
1 0 0 0 1 0 1 0 0
2 0 0 1 1 0 1 0 1
3 0 0 1 0 0 1 1 0
4 0 1 1 0 0 1 1 1
5 0 1 1 1 1 0 0 0
6 0 1 0 1 1 0 0 1
7 0 1 1 1 1 0 1 0
8 1 0 0 0 1 0 1 1
9 1 1 0 1 1 1 0 0

Para W SOP
C D 0 0 0 1 1 1 1 0 (ABCD) ∑ (5,6,7,8,9)+∑(10-15)
0 0 0 0 X 1 A+CB+DB
0 1 0 1 X 1
1 1 0 1 X X
1 0 0 1 X X

Para X SOP
C D 0 0 0 1 1 1 1 0 (ABCD) ∑ (1,2,3,4,9)+∑(10-15)
0 0 0 1 X 0 CB'+DB'+AB+C''D'B
0 1 1 0 X 1
1 1 1 0 X X
1 0 1 0 X X

Para Y SOP
C D 0 0 0 1 1 1 1 0 (ABCD) ∑ (0,3,4,7,8)+∑(10-15)
0 0 1 1 X 1 CD+CA+AC'D'
0 1 0 0 X 0
1 1 1 1 X X
1 0 0 0 X X

28
Para Z SOP
C D 0 0 0 1 1 1 1 0 (ABCD) ∑ (0,2,4,6,8)+∑(10-15)
0 0 1 1 X 1 AB+D'+CA
0 1 0 0 X 0
1 1 0 0 X X
1 0 1 1 X X

4. Utilizando circuitos combinatorios diseñe un convertidor de código de


binario(4bits) a gray. Use display logic.
Binario Gray
DEC A B C D a b c d
0 0 0 0 0 0 0 0 0
1 0 0 0 1 0 0 0 1
2 0 0 1 0 0 0 1 1
3 0 0 1 0 0 1 1 0
4 0 1 0 0 0 1 1 0
5 0 1 0 1 0 1 1 1
6 0 1 1 0 0 1 0 1
7 0 1 1 1 0 1 1 1
8 1 0 0 0 1 1 0 0
9 1 0 0 1 1 1 0 1
a 1 0 1 0 1 1 1 1
b 1 0 1 1 1 1 1 0
c 1 1 0 0 1 0 1 0
d 1 1 0 1 1 1 0 1
e 1 1 1 0 1 0 0 1
f 1 1 1 1 1 0 0 0

Para w SOP
(ABCD) ∑
C D 0 0 0 1 1 1 1 0
(8,9,10,11,12,13,14,15)
0 0 0 0 1 1 A
0 1 0 0 1 1
1 1 0 0 1 1

1 0 0 0 1 1

29
Para x SOP
C D 0 0 0 1 1 1 1 0 (ABCD) ∑ (4,5,6,7,8,9,10,11)
0 0 0 1 0 1 AB'+A'B
0 1 0 1 0 1
1 1 0 1 0 1
1 0 0 0 0 0

Para y SOP
C D 0 0 0 1 1 1 1 0 (ABCD) ∑ (2,3,4,5,10,11,12,13)
0 0 0 1 1 0 C'B+CB'
0 1 0 1 1 0
1 1 1 0 0 1
1 0 1 0 0 1

Para Z SOP
C D 0 0 0 1 1 1 1 0 (ABCD) ∑ (1,2,5,6,9,10,13,14)
0 0 0 0 0 0 CD'+C'D
0 1 1 1 1 1
1 1 0 0 0 0
1 0 1 1 1 1

30
5. Un código BCD se transmite a un receptor lejano. Los bits son A3, A2, A1,
A0, con A3 como el MSB. El circuito receptor contiene un circuito detector de
errores BCD que examine el código recibido para ver si es un código BCD legal,
es decir ( < 1001 ).- Diseñe este circuito para producir un nivel ALTO para
cualquier condición de error.
A3 A2 A1 A0 F
0 0 0 0 0
0 0 0 1 0
0 0 1 0 0
0 0 1 1 0
0 1 0 0 0
0 1 0 1 0
0 1 1 0 0
0 1 1 1 0
1 0 0 0 0
1 0 0 1 0
1 0 1 0 1
1 0 1 1 1
1 1 0 0 1
1 1 0 1 1
1 1 1 0 1
1 1 1 1 1

Para F
C D 0 0 0 1 1 1 1 0
0 0 0 0 0 0
0 1 0 0 0 0
1 1 1 1 1 1
1 0 1 0 1 1

SOP
(A3A2A1A0) ∑ (10,11,12,13,14,15)
A3A2+A3A1

31
6. Realice cada uno de los siguientes conjuntos de funciones con un único
módulo decodificador 74154 y compuertas lógicas de salida (elija compuertas
NAND y AND para minimizar el fan-in de las compuertas de salida).

F1(a,b,c,d) =Σ m(2, 4, 10, 11, 12, 13)


F2(a,b,c,d) =П M(0 a 3, 6 a 9,12,14,15)
F3(a,b,c,d) = bc + abd.
C D 0 0 0 1 1 1 1 0
0 0 0 1 1 0
0 1 0 0 1 0
1 1 0 0 0 1
1 0 1 0 0 1

SOP
(ABCD) ∑ (2,4,10,11,12,13)
[(CD'B')+(CAB')]+[(C'AB)+(C'D'B)]

32
33
• Diseñe un decodificador 5 a 32 con solo módulos decodificadores 3 a 8

34
CONCLUSIONES
En esta práctica se estudiaron los decodificadores, los cuales, como su
nombre sugiere, decodifican, o sea descifran los datos de una entrada y lo traducen
en un resultado diferente. Mayoritariamente se utilizan para traducir datos binarios
a niveles superiores más entendibles al ojo humano. Su versatilidad y
programabilidad es lo que han permitido desarrollar equipos informáticos y demás
tecnología útil para nuestra vida diaria.

Cambiando a las competencias efectivamente comprendo el concepto de


combinación y tengo una idea general de sus distintas clasificaciones, puedo definir
el decodificador como un circuito combinacional gracias a sus distintas entradas y
salidas, al igual que se cómo conectar y comprobar el funcionamiento de uno
mediante distintos leds.

35
BIBLIOGRAFIA
Administrador (s.f) El Decodificador. Electrónica Unicrom. Recuperado de:
https://unicrom.com/decodificador/

Rivera, J. M. (2015) Unidad II Sistemas Combinacionales. Issuu. Recuperado de:


https://issuu.com/josemauriciorivera/docs/unidad_ii-
_sistemas_combinacionales

Motta Tunco, B. M (2020) 74LS138 Decodificador. YouTube. Recuperado de:


https://www.youtube.com/watch?v=wz8KW84a8U0&t=98s

36

También podría gustarte