Está en la página 1de 31

Universidad Nacional Federico Villarreal

Facultada de Ingeniería Electrónica e Informática

Escuela Profesional de Ingeniería Electrónica

Curso
Circuitos Digitales

Tema
Laboratorio 6
Flip -Flops - Multivibrador astable

Docente
Dr. Jose Hilarion Rosales Fernadez

Presentado por :
Fiorela Stefany Ortiz Yesquen
Anyela Milagros Palomino Virhuez
Adrian Paolo Lopez Izaguirre

LIMA-PERÚ
2022
1- COMPENDIO TEÓRICO

Flip -Flops - Multivibrador astable

Flip -Flops

El flip-flop es un dispositivo de almacenamiento o memoria binario. Su misión es almacenar una


señal binaria indefinitivamente, hasta que en sus entradas aparezcan ciertas señales requeridas.

El FF más sencillo es el tipo T, Tiene una entrada y dos salidas complementarias una de otra. En
la tabla de verdad Qn representa el valor binario de Q antes de aplicar la señal T Y Q n + 1
representa el valor binario de Q después de haber aplicado la señal T. Así pues, Q permanecerá
inalterado mientras sea nula la tensión aplicada a T. Cuando a esta entrada se aplique un pulso de
tensión de frente creciente y duración adecuados y tras un pequeño retardo, se intercambian los
valores Q.
El tipo SR de FF tiene dos entradas y dos salidas, cuyos valores son el complemento del
otro.Cuando se aplica un pulso positivo a la entrada S, Q se “sensibiliza” a 1,
independientemente de cuál fuese su valor original.

Cuando se aplica un pulso positivo a la entrada R, Q se “repone” a 0. Notemos que no tiene


simultáneamente R = 1 y S = 1. La salida para este par de entradas no está definida. En la
práctica, no dejaremos que R y S sean 1 al mismo tiempo.
Multivibrador astable

El multivibrador astable es el que guarda más similitud con respecto a los osciladores.
Como el multivibrador biestable, el multivibrador astable suele tener dos salidas
complementarias y opuestas adquiriendo éstas alternativamente cualquiera de los dos estados
posibles.

Para el funcionamiento de este tipo de multivibrador no se requiere ningún tipo de impulso


externo como sucedía en el caso de los dos anteriores multivibradores, siendo su funcionamiento
originado inmediatamente al serle aplicada la tensión de alimentación.
Un circuito multivibrador astable podría ser el siguiente:

El principio de funcionamiento de un multivibrador astable se fundamenta en el retardo


producido por la carga y descarga de un condensador a través de una resistencia.
2. Desarrollo de la práctica
PARTE A : LATCH R – S

1) Armar el circuito de la figura 1. Conectar la salida Q a un diodo LED y Q a otro. Las


entradas R y S a “0”.
2) Aplicar las combinaciones de entrada en la secuencia propuesta para S y R (Tabla 1.1)
anotando el valor de la salida para cada caso.

3) Analice los resultados obtenidos y plasme su conclusión en la Tabla 1.2 para indicar el
comportamiento del circuito. Asegúrese de poder explicar el funcionamiento a partir de la
tabla obtenida.

-Podemos ver que el valor de R=0 y S=0 además el valor de Q=1 y Q+Q’= al valor de Q, así que
no hay cambios.

-Podemos ver que el valor de R=0 y S=1 el valor de Q=1 y Q+Q’= al valor de Q -Para R=1
y S=0 el valor de Q=1 y Q+Q’= al valor de Q.

-Podemos ver que el valor de R=1 y S=1 el valor de Q=1 y Q+Q’=0, y esto no se podria de
ninguna manera, ya que el valor de Q= 1 y +Q’ =1 .
4) ¿Cómo qué tipo de Flip – Flop trabaja el circuito analizado?

Este se desarrolla con el tipo de Flip Flop RS

5) ¿Cuál es el nivel activo para la entrada?

Nivel de entrada alto

6) ¿La condición de entrada 11 debe utilizarse? ¿Por qué?

No, porque es un estado indeterminado y esto se debe a la estructura de las


compuertas, a causa de la retroalimentación que hay entre ellas.

7) Simule todos los pasos anteriores con el proteus y explique cuál es la

Estado Inicial del Latch RS

S R Q Q´

0 0 N.C N.C

no esta ejecutado
S R Q Q´

0 1 0 1

S R Q Q´

1 0 1 0
S R Q Q´

1 1 0 0

PARTE B : FLIP FLOP D

1) Conectar uno de los Flip Flops D del 74LS74 tal como se indica en la figura
2, utilizando la salida Q del circuito 1 para suministrar los pulsos de reloj, en
la entrada CLK del Flip – Flop.
2) Hacer D = 0 y aplicar un pulso de reloj (actuar sobre las entradas S y R del circuito 1
para propiciar que su salida Q encienda y se apague).
¿Qué ocurre con la salida del Flip Flop D? . Anotar el resultado en la tabla 2.

3) Repetir el paso 2 con D =1.

La salida Q se vuelve 0 al igual que la entrada D.

4) Aplicar 0, 1, 0, 1, … varias veces en la entrada D pero sin aplicar pulsos de reloj. ¿Se
modifica el valor de la salida Q en algún momento? ¿Por qué?.

No se modifica el D, porque todo el valor depende de aplicar dando pulsos en el reloj del
clock

5) ¿Cuál es el funcionamiento de un Flip Flop tipo D?

EL Flip Flop D copia el valor la entrada D en su salida Q, siempre y cuando actúan


pulsos, en el momento de flanco de bajada de cada pulso.
6) Repetir los pasos 2 y 3 conectando la entrada de RESET (CLR) en valor “0”. ¿Qué
resultados ha obtenido en ambos casos?

D R

0 0

D R

1 0

7) Regresar el CLR al valor “1” y repetir los pasos 2 y 3, conectando la entrada del
PRESET en el valor “0”.

En este ejercicio se queda encendida la salida Q, y no estaría copiando el


valor de la entrada D. Así que la salida Q se quedó en alto.

D S

0 0
D S

1 0

8) ¿Qué ocurre con el funcionamiento del Flip – Flop si se activa la línea de


PRESET? y ¿si se activa el RESET?

Se activa el Flip Flop tipo D, copiando la entrada D con los pulsos de reloj

PARTE C: FLIP FLOP J – K


1) Conectar uno de los Flip Flops J-K del 74LS76 tal como se indica en el esquema de la
figura 3.
2) Ingresar “0”, “0” en J ,K y aplicar un pulso de reloj. ¿Qué ocurre con la salida?.
Repetir la acción para los demás valores indicados en la tabla 3 anotando la respuesta
obtenida en la salida Q para cada caso después de aplicar el pulso de reloj.

J K Q-Q´

0 0 0

0 1 0

1 0 1

1 1 0-1

3) Repetir el paso 2 aplicando “0” en la entrada RESET (CLR). ¿Qué resultado obtiene
en todos los casos.
Si el Reset se encuentra en 0, indica que está activada , por lo cual, nuestra salida dara
0 en todos los casos.
4f) Repetir el paso 2 con “1” en RESET (CLR) y “0” en PRESET (PR).¿Qué resultado
obtiene en todos los casos?

Cuando Reset (CLR) se


encuentra en 1 , se desactiva
la opción RESET- en cambio,
si a lo propuesto se le añade a
RESET( PLR) al valor de 1,
la salida será 1.
5) ¿Qué ocurre cuando se activa la entrada de RESET o la entrada de PRESET del Flip Flop?
¿Con qué valor se activan?
RESET = 0
- El valor activo de la salida es 0.
RESET= 1
- El valor activo de la salida es 1.
6) Con las entradas de PRESET Y CLEAR en “1” colocar J y K en “1”. Aplicar varios pulsos
de reloj. ¿Qué ocurre con la salida en cada pulso de reloj?. ¿Cómo que tipo de FF se
comporta el circuito en esta condición?
Podemos notar que en el circuito las salidas cambian de 1 a 0.
se comporta como Flip Flop J-K
7) Simule todos los pasos anteriores con el proteus y explique cuál es la
función de cada terminal de control. (adjunte tomas del proteus)
PARTE D : GENERADOR DE PULSOS DE RELOJ

1) Construir el circuito de la figura 4. Encender el circuito y observe la salida. ¿Qué


ocurre?

Podemos observar que


en el circuito nuestra
LED se está prendiendo
y apagando .
Analizando esto
podemos obtener que es
con una frecuencia 1Hz

2) ¿Cómo qué tipo de circuito se está comportando? ¿Por qué?


.
Se está comportando como un reloj, debido que al cambiar con cierta frecuencia, nos
indica que es un circuito sincronizado.
3) Utilice el contador 1 uF. ¿ Cuál es el resultado?
Al poner este valor al contado, se puede apreciar que nuestra led se prende y apaga de una
frecuencia instantánea

.
4) Vuelva a conectar el condensador de 10 mF. Pero cambie la resistencia de 100 KW por la
de 10 KW . ¿Cuál es el efecto respecto del paso 1?
El LED se encenderá al instante de ejecutarlo, pero de ahi no se vuelve a encender. A
diferencia con el circuito 1, que si volvia a encender y dentro de una frecuencia de 1 Hz
5) ¿Mediante que elementos se puede ajustar la frecuencia de las pulsaciones que emite el
circuito?
Se puede ajustar la frecuencia exactamente con la resistencia y los condesores

PARTE E
1) Implementar el circuito de la figura 5. Coloque la línea de inicio a “0” y luego
colóquela en “1”.

2) ¿Cuál es la secuencia seguida por QB QA (2 1)?

La secuencia es que QA es el doble de rápido que de Qb

3) Retorne la línea de inicio a “0”. ¿Qué ocurre en la salida al recibir más pulsos de
Reloj?.

La salida se encuentra en BAJO , entonces ya no se oscila.


3- CUESTIONARIO

1. Funcionamiento de los flip-flops, características, tipos y aplicaciones.

¿Qué es un flip flop?

El flip flop es el nombre común que se le da a los dispositivos de dos estados (biestables), que
sirven como memoria básica para las operaciones de lógica secuencial. Los Flip-flops son
ampliamente usados para el almacenamiento y transferencia de datos digitales y se usan
normalmente en unidades llamadas «registros», para el almacenamiento de datos numéricos
binarios.

Son dispositivos con memoria mas comúnmente utilizados.

Sus características principales son:

-Asumen solamente uno de dos posibles estados de salida.

-Tienen un par de salidas que son complemento una de la otra.

-Tienen una o mas entradas que pueden causar que el estado del Flip-Flop cambie.

Los flip flops se pueden clasificar en dos:

Asíncronos: Sólo tienen entradas de control. El mas empleado es el flip flop RS.

Síncronos: Además de las entradas de control necesita un entrada sincronismo o de reloj.

Una vez teniendo una idea de lo que es un flip flop vamos a describir los flip flop más usados.

-Flip-Flop R-S (Set-Reset):


Utiliza dos compuertas NOR. S y R son las entradas, mientras que Q y Q’
son las salidas (Q es generalmente la salida que se busca manipular.) La
conexión cruzada de la salida de cada compuerta a la entrada de la otra
construye el lazo de reglamentación imprescindible en todo dispositivo de
memoria. Para saber el funcionamiento de un Flip flop se utilizan las
Tablas de verdad. Si no se activa ninguna de las entradas, el flip flop
permanece en el último estado en el cual se encontraba.
Flip-Flop T:

El Flip-flop T cambia de estado en cada pulso de T. El pulso es un ciclo completo de cero a 1.


Con el flip flop T podemos complementar una entrada de reloj al flip flop rs.
La siguiente tabla muestra el comportamiento del FF T y del FF S-R en
cada pulso de t.

Flip-Flop J-K (Jump-Keep)

El flip-flop J-K es una mezcla entre el flip-flop S-R y el flip-flop T. A diferencia del flip flop RS,
en el caso de activarse ambas entradas a la vez, la salida adquiere el estado contrario al que
tenía.
La siguiente tabla muestra el comportamiento del flip flop JK

Flip-Flop D (Delay)

El flip-flop D es uno de los FF más sencillos. Su función es dejar pasar lo que entra por
D, a la salida Q, después de un pulso del reloj.
La siguiente tabla muestra el comportamiento del flip flop D

2. Qué diferencia hay entre flip-flops activados por flanco y activados por
nivel

La diferencia es que los biestables disparados por flanco están construidos


de manera que sólo cambian de estado ante la aplicación de una señal de
disparo, en concreto ante el flanco de bajada o de subida de una señal de
entrada llamada reloj (CLK).

Mientras que en los biestables activados por nivel cuando la señal de reloj
es activa (nivel alto del reloj) la salida toma el valor de la entrada D y
cuando la señal de reloj no es activa (nivel bajo del reloj) la salida
permanece invariable (memorizada).
3. Diseñe un flip flop temporizado tipo j-k con compuertas lógicas

4. Un circuito secuencial tiene 2 flip-flop´s , A y B x e y, y una salida z. Las 7


funciones de entrada de los flip-flop´s y la función de la salida son las
siguientes
5. Considere un flip-flop J-K es decir un flip-flop J-k con inversor de la
.

entrada externa K y la entrada interna K.

a. Tabla y características del flip flop:

b. Ecuaciones características:
c. Demuestre que uniendo las 2 entradas extremas entre sí, se forma un
flip-flop D

6. Con el timer 555 diseñe un oscilador que genere de manera aproximada


una onda cuadrada con una frecuencia de 20 Khz. La capacitancia C debe ser
mayor o igual a 100pf.
7- Cuál es la diferencia entre circuitos síncrono y circuito
secuencial asíncrono.

En un circuito síncrono, hay un reloj que permite que los elementos


del circuito cambien de estado, pero solo mientras el reloj está
activo. En un circuito asíncrono, los cambios de estado se propagan
a través del circuito sin ningún reloj para habilitar / bloquear los
cambios.

Cualquiera de los dos tipos puede ser simplemente “en línea recta”
o, más 2comúnmente, tener bucles de retroalimentación (salidas
que regresan a las entradas).

En un circuito asíncrono, considere lo que sucede cuando cambia el


estado de las entradas. Esos cambios se propagan, incluidos los
bucles de retroalimentación. No hay nada que los detenga, pero hay
que tener en cuenta el hecho de que los circuitos tardan en cambiar,
y algunas rutas son “más largas” (tardan más en cambiar de
estado). En la mayoría de los casos, esto eventualmente termina con
un conjunto estable de estados, hasta que cambia una entrada.
8. Diseñe un circuito secuencial asíncrono que siga la secuencia
de 0 a 12 usando filp-flops de J-K de flanco de bajada
9. Diseñe un circuito secuencial asíncrono que siga la secuencia 7, 6, 5,
…0, 7, 6, … usando flip-flop J-K de flaco de bajada
4- OBSERVACIONES

El simulador Proteus ayuda a ganar tiempo, pero lo malo de este es que no acepta como
condición inicial R=0 y S=0 ya que es una redundancia.

Las dos compuertas NAND y XOR pueden llegar a realizar las mismas funciones
que un sumador y restador completo si están correctamente conectadas y
posicionadas.

El pulso del temporizador astable 555 da una salida a alto o a bajo, en base a los estados
de los dos comparadores. Cuando el comparador disparador, está enviando una señal
baja , el flip-flop conmuta a alto, cuando ambos comparadores están generando una
señal alta, el flip-flop cambia a bajo.

CONCLUSIONES

Los Flip Flop son capaces de permanecer en un estado determinado o en el contrario


durante un tiempo indefinido.

Los Flip Flop poseen características especiales que otros circuitos no harían de manera
tan eficiente ya que como condición de realimentación puede modificar su
comportamiento.

Los Flip Flop son ampliamente utilizados en electrónica digital para memorizar
información.

Con el Flip Flop tipo D se puede dividir la frecuencia disminuyéndola, poniendo la


entrada del reloj en la entrada D de otros Flip Flop de este tipo.

RECOMENDACIONES

En este trabajo se sugiere hacerlo de manera minuciosa con las tensiones de


trabajo, ya que las compuertas no funcionan fuera del rango especificado en los
datasheets.

Una recomendación sería eludir las condiciones inestables en los latch RS porque estas
pueden traer ciertos inconvenientes que puede dañar el comportamiento del circuito.
5- BIBLIOGRAFÍA

https://electronicautm.files.wordpress.com/2014/06/fundamentos-de-sistemas-digitales-fl
oyd-9ed.pdf

https://catedra.ing.unlp.edu.ar/electrotecnia/islyd/apuntes/flipflops2003.pdf

http://solano.orgfree.com/DISE%D1O%20DIGITAL%20CON%20VHDL/LATCHES_
FLIPFLOPS.pdf

También podría gustarte