Está en la página 1de 21

INFORME DE LABORATORIO - ELECTRÓNICA DIGITAL

LABORATORIO N° 05 - FLIP-FLOPS Y CONTADORES


Estudiantes: Panama Anthony, Farinango Jeferson, Delgado Josue
Docente: Msc. Pamela Godoy
Técnico Docente: Msc. Alejandra Pinto Erazo
13 de julio de 2022

1. Tı́tulo
FLIP-FLOPS Y CONTADORES

2. Indicaciones Generales
Estimado estudiante, por favor tomar en cuenta las indicaciones:
Cada estudiante desarrolla el laboratorio.
El formato para presentar su informe es desarrollado en entorno Latéx.
Usted deberá presentar un Reporte de Laboratorio (2 dı́as después de su práctica)

3. Marco Teórico
3.1. Flip-Flop J-K
El ”flip-flop”J-K, es el más versátil de los flip-flops básicos. Tiene el carácter de seguimiento de entrada del
flip-flop D sincronizado, pero tiene dos entradas, denominadas tradicionalmente J y K. Si J y K son diferentes,
la salida Q toma el valor de J durante la subida del siguiente pulso de sincronismo.(Gsu., 2018)
IMAGEN

Figura 1: Flip-Flop J-K

Si J y K son ambos low (bajo), entonces no se produce cambio alguno. Si J y K son ambos high (alto),
entonces en la siguiente subida de clock la salida cambiará de estado. Puede realizar las funciones del flip-flop
set/reset y tiene la ventaja de que no hay estados ambiguos. Puede actuar tambien como un flip-flop T para
conseguir la acción de permutación en la salida, si se conectan entre sı́ las entradas J y K. Esta aplicación de
permutar el estado, encuentra un uso extensivo en los contadores binarios.(Gsu., 2018)

1
3.1.1. Estructura del Flip-Flop J-K
A la derecha se tiene una versión simplificada del versátil flip-flop J-K. Nótese que las salidas se retro-
alimentan para habilitar las puertas NAND. Esto es lo que le proporciona la acción de permutación cuando
J=K=1.(Gsu., 2018).
IMAGEN

Figura 2: Estructura del Flip-Flop J-Kr

Si bien esta implementación del flip-flop J-K con cuatro puertas NAND funciona en principio, hay problemas
que surgen con el tiempo (”timing”). El pulso de ”timing”debe ser muy corto porque un cambio en Q antes
de que aquel se apague puede conducir el circuito a una oscilación llamada çarrera”. Los circuitos integrados
modernos son tan rápidos que esta sencilla versión del flip-flop J-K no es práctica (pusimos uno en el laboratorio
con un chip de 4-NAND disponible y era muy inestable frente a las carreras).(Gsu., 2018)
IMAGEN

Figura 3: Tabla de verdad flip-flop J-K

El siguiente paso para hacer uso del versátil flip-flop J-K es usar cuatro puertas NAND adicionales para
crear el flip-flop JK Master-Slave que tiene dos flip-flops set/reset encauzados, usados como ”latches”de una
manera que suprime la çarrera”.(Gsu., 2018)

3.1.2. Transferencia de Datos, Flip-Flop J-K


En la transferencia de datos sı́ncrona entre dos flip-flops J-K, una señal de transferencia en la entrada del
clock causa que el estado de la celda A se transfiera a la celda. La señal de transferencia se puede aplicar a
varias de tales celdas en serie para crear un registro de desplazamiento”(shift register).(Gsu., 2018)
IMAGEN

Figura 4: Transferencia de Datos, Flip-Flop J-K

3.2. FF SR
El flip-flop tiene dos entradas R (reset) y S (set), se encuentran a la izquierda del sı́mbolo. Este flip-flop
tiene activas las entradas en el nivel BAJO, lo cual se indica por los circulitos de las entradas R y S.(Xunta,
2020)

2
Figura 5: Sı́mbolo lógico de un flip-flop SR

Los flip-flop tienen dos salidas complementarias, que se denominan Q y 1, la salida Q es la salida normal y
1 = 0. El flip-flop RS se puede construir a partir de puertas lógicas. A continuación mostraremos un flip-flop
construido a partir de dos puertas NAND, y al lado veremos su tabla de verdad correspondiente.(Xunta, 2020)

Figura 6: Circuito equivalente de un flip-flop SR

Figura 7: Tabla de verdad del flip-flop SR

Observar la realimentación caracterı́stica de una puerta NAND a la entrada de la otra. En la tabla de la


verdad se define la operación del flip-flop. Primero encontramos el estado ”prohibido.en donde ambas salidas
están a 1, o nivel ALTO. Luego encontramos la condición ”set”del flip-flop. Aquı́ un nivel BAJO, o cero
lógico, activa la entrada de set(S). Esta pone la salida normal Q al nivel alto, o 1. Seguidamente encontramos
la condición reset”. El nivel BAJO, o 0, activa la entrada de reset, borrando (o poniendo en reset) la salida
normal Q. La cuarta lı́nea muestra la condición de ı̈nhabilitación.o ”mantenimiento”, del flip-flop RS. Las salidas
permanecen como estaban antes de que existiese esta condición, es decir, no hay cambio en las salidas de sus
estados anteriores. Indicar la salida de set, significa poner la salida Q a 1, de igual forma, la condición reset
pone la salida Q a 0. La salida complementaria nos muestra lo opuesto. Estos flip-flop se pueden conseguir a
través de circuitos integrados.(Xunta, 2020)

3.2.1. Flip-Flop RS Sı́ncrono


El flip-flop RS es un dispositivo ası́ncrono. No opera en conjunción con un reloj o dispositivo de temporiza-
ción. El flip-flop RS sı́ncrono opera en conjunción con un reloj, en otras palabras opera sincronizadamente. Su
sı́mbolo lógico se muestra a continuación. Es igual a un flip-flop RS añadiéndole una entrada de reloj.(Xunta,
2020)

Figura 8: Sı́mbolo de un flip-flop SR sı́ncrono

El flip-flop RS sı́ncrono puede implementarse con puertas NAND. En las siguientes ilustraciones vemos
primero como se añaden dos puertas NAND al flip-flop RS para construir un flip-flop RS sı́ncrono. Las puertas

3
NAND 3 y 4 añaden la caracterı́stica de sincronismo al cerrojo RS. La tabla de la verdad nos muestra la
operación del flip-flop RS sı́ncrono. El modo de mantenimiento se describe en la primera lı́nea de la tabla de
la verdad. Cuando un pulso de reloj llega a la entrada CLK (con 0 en las entradas R y S), las salidas no
cambian, permanecen igual que antes de la llegada del pulso de reloj. Este modo también puede llamarse de
ı̈nhabilitación”del FF. La lı́nea 2 es el modo de reset.(Xunta, 2020)

Figura 9: Circuito eléctrico equivalente de un flip-flop SR sı́ncronob

Figura 10: Tabla de verdad de un flip-flop SR sı́ncrono

3.2.2. Flip-Flop D
El sı́mbolo lógico para un flip-flop D es el siguiente:

Figura 11: Sı́mbolo lógico de un flip-flop D

Tiene solamente una entrada de datos (D), y una entrada de reloj (CLK). Las salidas Q Y 1. También se
denomina ”flip-flop de retardo ”. Cualquiera que sea el dato en la entrada (D), éste aparece en la salida normal
retardado un pulso de reloj. El dato se transfiere durante la transición del nivel BAJO al ALTO del pulso del
reloj.(Xunta, 2020)

3.2.3. Flip-Flop JK
El sı́mbolo lógico para un flip-flop JK es el siguiente:

Figura 12: Sı́mbolo lógico de un flip-flop JK

Este flip-flop se denomina como üniversal a que los demás tipos se pueden construir a partir de él. En el
2

sı́mbolo anterior hay tres entradas sı́ncronas (J, K y CLK). Las entradas J y K son entradas de datos, y la
entrada de reloj transfiere el dato de las entradas a las salidas.(Xunta, 2020)
A continuación veremos la tabla de la verdad del flip-flop JK

4
Figura 13: Tabla de verdad para un flip-flop JK

Observamos los modos de operación en la parte izquierda y la tabla de la verdad hacia la derecha. La lı́nea
1 muestra la condición de ”mantenimiento”, o inhabilitación. La condición de reset”del flip-flop se muestra en
la lı́nea 2 de la tabla de verdad. Cuando J=0 y K=1 y llega un pulso de reloj a la entrada CLK, el flip-flop
cambia a 0(Q=0). La lı́nea 3 muestra la condición de ”set”del flip-flop JK. Cuando J=1 y K=0 y se presenta
un pulso de reloj, la salida Q cambia a 1. La lı́nea 4 muestra una condición muy difı́cil para el flip-flop JK que
se denomina de conmutación.(Xunta, 2020)

3.3. Decodificador 7447 con ánodo común


El Circuito Integrado TTL 7447 es un decodificador y controlador BCD a siete segmentos con salidas de
colección abierta (open-collector outputs). El 7447 es un decodificador/Conductor-BCD a siete segmentos cuenta
con salidas activas bajas, diseñados para la conducción, LEDs de ánodo común o indicadores incandescentes
directamente. El IC para la conducción de buffers lámpara o LEDs de cátodo común.(NA, 2017)

3.3.1. Caracterı́sticas:
Número de lı́neas de entrada: 4.
Número de lı́neas (entrada/salida): 4/7.
Voltaje de suministro operativo: 5 V.

Figura 14: Decodificador 7447 con ánodo común

Es un dispositivo que “decodifica” un código de entrada en otro. Es decir, transforma una combinación
de unos y cero, en otra. Esto quiere decir que transforma el código binario en el código de 7 segmentos. El
decodificador recibe en su entrada el número que será visualizado en el display. Para un valor de entrada, cada
salida toma un estado determinado (activada o desactivada).(UNIT, 2020)
La entrada consiste en 4 patas o pines donde el decodificador recibe los números binarios. Podemos ingresar
valores de 0 a 9 en formato binario. Una caracterı́stica de sus circuitos es que pueden incorporar control de
puesta a 0 de flanco. Tanto positivo como negativo automático. Pueden aplicarse para la selección de diferentes
puertos y lograr la comunicación de la computadora con varios dispositivos externos.(UNIT, 2020)

Es un circuito para la conducción de buffers de lámpara o LEDs de ánodo común. Sirve para verificar la
transformación de BCD a binario. A diferencia del circuito 74LS48 es que controla display de 7 segmentos de
cátodo común y el 74LS47 es para display de ánodo común.(UNIT, 2020)

5
3.4. Compuertas AND y OR
Las Compuertas Lógicas son circuitos electrónicos conformados internamente por transistores que se en-
cuentran con arreglos especiales con los que otorgan señales de voltaje como resultado o una salida de forma
booleana, están obtenidos por operaciones lógicas binarias (suma, multiplicación).

3.4.1. Compuerta AND


Esta compuerta es representada por una multiplicación en el Algebra de Boole. Indica que es necesario que
en todas sus entradas se tenga un estado binario 1 para que la salida otorgue un 1 binario. En caso contrario de
que falte alguna de sus entradas con este estado o no tenga si quiera una accionada, la salida no podrá cambiar
de estado y permanecerá en 0. Esta puede ser simbolizada por dos o más interruptores en serie de los cuales
todos deben estar activos para que esta permita el flujo de la corriente.

Figura 15: Tabla, Representación y Fórmula Compuerta AND Compuerta OR

Figura 16: Diagrama de la Compuerta AND

3.4.2. OR
En el Algebra de Boole esta es una suma. Esta compuerta permite que con cualquiera de sus entradas que
este en estado binario 1, su salida pasara a un estado 1 también. No es necesario que todas sus entradas estén
accionadas para conseguir un estado 1 a la salida pero tampoco causa algún inconveniente. Para lograr un
estado 0 a la salida, todas sus entradas deben estar en el mismo valor de 0. Se puede interpretar como dos
interruptores en paralelo, que sin importar cual se accione, será posible el paso de la corriente.

Figura 17: Tabla, Representación y Fórmula Compuerta OR

Figura 18: Diagrama de la Compuerta OR

6
4. Laboratorio
Realice las funciones propuestas, simplifique de ser el caso y compruebe sus salidas:

1 Practica 1: Convertir un flip-flop JK A un tipo SR.


2 Practica 2: Diseñar un contador binario ascendente sı́ncrono de 2 Y 3 bits (de acuerdo a la distribución
de grupos) con flip-flops JK siguiendo estos pasos:
a Diagrama de estado.
b Tabla de estado siguiente
c Tabla de transición de los flip-flops
d Simplificar con Mapas de Karnaugh
e Expresiones lógicas para las entradas de los flip-flops
f Implementación del contador (diagrama)
3 Practica 3: Diseñar y armar un contador, aplicarlo en un dado electrónico mediante flip-flop sin usar uno
ya predeterminado con su respectiva cuenta aleatoria y su visualización mediante leds.

5. Desarrollo
5.1. Practica 1
Convertir un flip-flop JK A un tipo SR

Figura 19: Diseño Flip Flop JK

Figura 20: Tabla de Excitación

Figura 21: Mapa de Karnaugh en J

7
Figura 22: Mapa de Karnaugh en K

Figura 23: Diagrama Lógico

5.2. Practica 2

Diseñar un contador de acuerdo a la distribución


de grupos
ASCENDENTE
Diagrama de estados

Figura 25: Tabla de Estados Actual y Siguiente

Figura 24: Diagrama de estados

Tabla de transición de FF’s JK


Tabla de Estados

8
Figura 27: Expresiones de entrada para cada FF

DESCENDENTE
Diagrama de estados

Figura 26: Tabla de transición de los FF’s

Simplificación por MK

Figura 28: Diagrama de estados

Tabla de estado actual y siguiente

Figura 29: Estado actual y siguiente

Expresiones lógicas para las entradas de los


flip-flops Tabla de Transisicón de FF

9
Figura 30: Tabla de transición de FF

Simplificación por MK

10
Implementación del Contador
En esta simulación utilizamos terminales por defecto para que no se complique la conexión entre las compuertas
y los FF. El contador va de 0 a 99 de 1 en 1, utilizamos el primer circuito para decenas y el segundo para
unidades. Se implemento de forma ascendente y descendente en unidades y decenas.

Figura 31: Decenas del contador

Figura 32: Unidades del contador

5.3. Practica 3
Diseñar y armar un contador, aplicarlo en un dado electrónico mediante flip-flop sin usar uno ya predeter-
minado con su respectiva cuenta aleatoria y su visualización mediante leds.
Diagrama de Estados

11
Figura 33: Diagrama de estados

Tabla de estados

Figura 34: Estado actual y siguiente

Tabla de transición de FF

Figura 35: Tabla de transición

Simplificación por MK

12
Figura 36: Simplificación por MK

Implementación del circuito


En esta simulación esta formada por 3 bits, 3 FF JK y sus compuertas para realizar la conexión con la simpli-
ficación por MK. Además, utilizamos un decodificador para que nos indique el número que va a salir del dado
y tambien se conecto los leds utilizando un circuito 74145, este nos sirve para identificar que numero sale en el
display y lo forma con los leds el número.

Figura 37: Simplificación por MK

Circuito Armado

13
14
15
5.4. Cuestionario
1 Determine el diagrama de tiempo de un flip-flop JK.

Q iniciando en 0 y flanco positivo

2 Realizar el diagrama de bloque del modelo de conversión de un flip-flop a otro.

16
Figura 38: Diagrama Conversión FF JK a D

Figura 39: Diagrama Conversión FF D a SR

17
Figura 40: Diagrama Conversión FF SR a JK

3 Que es un contador, definición?

Los contadores digitales o contadores electrónicos son circuitos de tipo secuencial sı́ncrono y/o ası́ncrono,
el cual tiene una entrada de tipo reloj ( Un pulso cuadrado) que activa una serie de circuitos lógicos para
establecer como salida un número en formato de código que otro componente como un microprocesador,
un display 7-SEG o un display LCD pueda entender.(NA, 2018)
Su finalidad es incrementar y/o decrementar la cantidad de dicho número por cada pulso de reloj que
percibe. Los códigos de salida pueden ser de tipo binario generalmente, aunque también pueden existir
con salidas hexadecimales, octales, entre otros.(NA, 2018)
Los contadores digitales se pueden ensamblar desde cero con sus componentes por separado y aplicando
técnicas de lógica digital (Tablas de verdad y mapas de Karnaugh). Por lo general, los contadores vienen
como circuitos integrados compuestos internamente por varios componentes (Resistencias, compuertas
lógicas, flip-flops, entre otros). Las caracterı́sticas y métodos de control de cada contador va a depender
de la estructura lógica interna de cada uno. (NA, 2018)
4 Defina un contador sı́ncrono y ası́ncrono, sus diferencias, un diagrama de cada uno.
• Contador Sı́ncrono
Están conformados principalmente por flip-flops que poseen señal de reloj, las cuales se activan de
manera simultánea ejecutando cada flip-flop al tiempo del pulso cuadrado o de reloj. También se
puede decir que las operaciones de los flip-flop son ejecutadas en forma paralela, a diferencia de los
contadores de tipo ası́ncrono que son de manera serial. Este tipo de contadores es mucho más flexible
que los contadores ası́ncronos.(NA, 2018)
• Contador Ası́ncrono
Consisten en circuitos formados por flip-flops tipo J-K puenteados (Realizando un puente entre las
entradas J y K) organizados en cascada, es decir, la salida Q de un flip-flop va a la entrada J-K del
siguiente flip-flop. Cada flip-flop representa un bit o espacio para el código.(NA, 2018)

18
Siendo el número de flip-flop igual a N y utilizando las potencias base dos, la magnitud del contador en
binario será igual la potencia base 2 elevada al número N de flip-flops que constituyan al contador. La
desventaja de este tipo de contadores es que solo puede realizar secuencias en serie de tipo ascendentes
y/o de tipo descendente.(NA, 2018)
• Diferencias
Por lo general, los contadores ası́ncronos son menos útiles que los sincrónicos en los sistemas complejos
de alta frecuencia. Algunos circuitos integrados reaccionan mas rápido que otros, por lo que si un
evento externo se produce cerca de una transición entre estados, cuando algunos, sino no todos, los
circuitos integrados han cambiado de estado, puede introducir errores en el contador. Tales errores
son difı́ciles de predecir debido a la diferencia del tiempo variable aleatorio entre los eventos. Por otra
parte, los retardos de propagación pueden hacer que sea difı́cil de detectar, o decodicar, el estado de
salida de un circuito de contador ası́ncrono de forma electrónica.
• Diagrama Sı́ncrono

Figura 41: Diagrama contador sı́ncrono

• Diagrama Ası́ncrono

Figura 42: Diagrama contador ası́ncrono

5 Realizar las tablas de excitación de los flip-flop tipo JK, tipo T y tipo D.

19
Figura 43: Flip-Flop J-K

Figura 44: Flip-Flop tipo T

Figura 45: Flip-Flop tipo D

6 Enumere otros contadores tı́picos.


• Contadores Up/DFown
• Contadores sı́ncronos programables
• Contadores TTL
• Contador-Divisor para 12
• Contador de década
• Contadores/divisores CMOS

6. Interpretación de Resultados
Para la elaboración de este laboratorio debemos tener muy en cuenta los pasos que se necesitan tanto
para diseñar circuitos y por el método que se va a trabajar ya sea sı́ncrono o ası́ncrono.
En este laboratorio debemos utilizar correctamente las tablas de verdad de los FF’s que vamos a utlizar,
esto nos sirve para realizar correctamente la tabla de transición tanto para el contador como el dado
digital.
Al momento de realizar la conversión de FF JK a SR realmente no fue complicado ya que solo debemos
basarnos en las tablas de verdad del FF al que vamos a cambiar.
En la practica 2 se obtuvo varios problemas ya que debı́amos realizar el contador en forma sı́ncrona y
todo el proceso que lleva realizar en forma sı́ncrona, además, debı́amos realizar en forma ascendente y
descendente lo cual se complico en la parte descendente ya que no cumplı́a con lo que se esperaba. Se
soluciono el problema resolviendo desde tablas de verdad y diagramas de estados. La unión para que
realize forma ascendente y descendente se obtuvo a través de guı́as brindadas en clase.

20
En la práctica 3 realmente no se obtuvo complicaciones ya que solo debı́amos armar el diagrama de estados
y observar como iba a trabajar el dado. Basicamente debemos seguir los pasos indicados en clase para que
al final solo armemos el dado a través de la simplificación por MK.
Utilizamos un decodificador 7447 que conectamos las salidas de cada FF. También se utilizo un circuito
74145, este circuito nos sirve para encender el número de leds que el dado me lanza al final.

7. Conclusiones y Recomendaciones
7.1. Conclusiones
Las simulaciones realizadas son muy importante, para la el desarrollo correcto de cualquier diseño, lo
cual nos permite identificar los errores que podemos obtener al realizar los cálculos, con esto podemos
evidenciar el correcto funcionamiento en la forma fı́sica.

En este laboratorio comprendimos el funcionamiento de los temas como los contadores, desarrollamos esta
practica con los conocimiento teóricos para el armado fı́sico.
Para la implementación del circuito tanto del dado, conversor y contador se utilizaron los conceptos
adquiridos durante las clases teóricas dictadas por el docente, lo que ayudo en gran medida a poder
identificar problemas durante la implementación y solucionarlos mediantes estos conocimientos.

Obtuvimos los resultado y logramos evidenciar el armado de la simulación y el armado fisco de este
laboratorio.
Al realizar contadores Sı́ncronos se debe tomar en cuenta que la señal del reloj para los Flip Flops sera la
misma para todos.
Para representar los resultados del dado se utilizo codificadores para pasar de un código a otro para ser
mostrado ya sea por medio de displays o con leds en forma binaria.

7.2. Recomendaciones
Seguir el orden de procedimientos indicados en clase ya sea para un diseño o cualquier otro tipo de circuito,
siempre se debe seguir los pasos necesarios ya que esto nos minimiza los errores.
Revisar siempre los datashet de los componentes que se vaya a utilizar para conocer y tener claro la forma
de conectarlos.
Realizar siempre la simulación de cada circuito antes de ser implementado de manera fı́sica y observar los
diferentes cambios que se producen durante el funcionamiento.
Los cálculos teóricos mediante el álgebra de boole o mapas de karnaugh o incluso las tablas de verdad
deben estar bien realizadas ya que si hay errores en el desarrollo de estas el circuito funcionara de manera
incorrecta y peor aun ni siquiera funcionara por lo que se debe tener especial precaución el momento de
realizar los ejercicios de manera teórica.

Se debe tomar en cuenta siempre que variable tiene mayor y menor ponderación ya que de ello depende
como funcione el circuito y de esa manera se evitan confusiones innecesarias.
Para este laboratorio es importante revisar las tablas de transición del tipo de Flip Flop que se va a
realizar, ya que de esto depende que el diseño del circuito funcione correctamente.

Referencias
Gsu. (2018). J-k flip-flop. Descargado de http://hyperphysics.phy-astr.gsu.edu/hbasees/Electronic/
jkflipflop.html
NA. (2017). 7447. Descargado de https://avelectronics.cc/producto/7447/
NA. (2018). Contadores. Descargado de https://electronicacompleta.com/contadores-digitales/
UNIT. (2020). 74ls47 decodificador ttl sn74ls47n. Descargado de https://uelectronics.com/producto/
74ls47-decodificador-ttl-sn74ls47n/
Xunta. (2020). Flip. Descargado de http://centros.edu.xunta.es/iesmanuelchamosolamas/
electricidade/fotos/flip.htm

21

También podría gustarte