Está en la página 1de 3

OPERACIONES ARITMÉTICAS BINARIAS

UTILIZANDO VHDL
Gómez Kevin Pilatasig Steven Mauricio
Apolo Aguilar María del Cisne Sistemas Digitales
Sistemas Digitales Sistemas Digitales Quito, Ecuador
Quito, Ecuador Quito. Ecuador steven.pilatasig@epn.edu.ec
kevin.gomez@epn.edu.ec maria.apolo@epn.edu.ec

Abstract—En esta práctica utilizaremos con la arquitectura


flujo en VHDL.

Keywords—VHDL.

I. INTRODUCTION
La aritmética binaria que usa VHDL significa expresar
operaciones como suma, resta, multiplicación y división en
forma numérica. Esto se logra definiendo la organización, la
arquitectura y los símbolos que representan datos, productos
e ideas organizacionales.
II. INFORME Fig. 2 Tabla de función

A. Consultar las características, tablas de función y


distribución de pines del circuito 7483, en base a lo
consultado y utilizando sentencias concurrentes realizar
un programa en VHDL que permita simular el
comportamiento de este integrado. Presentar el código
implementado, la simulación del correcto
funcionamiento utilizando el University Program VWF y
el mapeo de pines para su implementación.
Sirve para realizar distintas prácticas de electrónica ya que
es un sumador completo binario de 4 bits de alta velocidad Fig. 3 Código simulación del circuito 7483
con acarreo interno; acepta 2 palabras binarias de 4 bits (A1
– A4, B1 – B4) y una entrada de acarreo (C0). Library ieee;
use ieee.std_logic_1164.all;
entity inf10 is
port(ent:in std_logic_vector(2 downto 0);
ext:out std_logic_vector(1 downto 0));
end entity;
architecture el_arch of inf10 is
begin
with ent select
ext <=
"00" when "000",
"10" when "001",
"10" when "010",
"01" when "011",
"10" when "100",
"01" when "101",
"01" when "110",
Fig. 1 Sumador binario completo de 4 bits "11" when others;
end architecture;

Fig. 4 Señales del circuito 7483

XXX-X-XXXX-XXXX-X/XX/$XX.00 ©20XX IEEE


de descripción de hardware (HDL) que define cómo opera
un circuito.

Una vez que el diseño ha sido verificado por simulación, se


puede implementar en un FPGA o ASIC (Circuito Integrado
de Aplicación Específica). Esto le permite llevar la lógica
digital de su diseño a la vida real y aprovechar la velocidad
y el paralelismo que proporciona el hardware.

VHDL proporciona un entorno de desarrollo para depurar y


Fig. 5 Mapeo de pines del circuito 7483 optimizar diseños fácilmente. Las herramientas de
simulación le permiten identificar errores y problemas en su
B. Realizar un sumador de 2 números binarios de 3 bits diseño, ahorrando tiempo y recursos en comparación con la
utilizando sentencias concurrentes. La respuesta de la depuración en hardware físico.
suma se expresará en binario. Presentar el código
implementado, la simulación del correcto Steven Pilatasig
funcionamiento utilizando el University Program VWF
con formato de variables unsigned decimal y el mapeo 1. La implementación de operaciones aritméticas binarias
de pines para su implementación. utilizando VHDL permite aprovechar la estructura de
hardware disponible en dispositivos FPGA y ASIC para
ejecutar cálculos de manera eficiente y paralela.

2. Las operaciones aritméticas binarias en VHDL se


ejecutan en tiempo real y ofrecen precisión constante, lo que
es crucial en aplicaciones que requieren cálculos
matemáticos exactos y rápidos. La combinación de la alta
velocidad de ejecución y la capacidad para manejar números
grandes o pequeños es una ventaja en campos como
procesamiento de señales y criptografía.

Fig. 6 Código simulación del sumador de 2 número de 3 bits 3. Al implementar operaciones aritméticas binarias en
VHDL, es posible diseñar circuitos personalizados para
adaptarse a requisitos específicos. Esto permite la creación
de módulos optimizados y especializados para funciones
aritméticas particulares, lo que puede mejorar la eficiencia y
reducir el consumo de recursos en comparación con
soluciones genéricas.

Kevin Gómez

Fig. 7 Señales del circuito del simulador de 2 número de 3 bits


IV. RECOMENDACIONES
María del Cisne Apolo
Antes de comenzar a escribir código, asegúrese de
comprender completamente el problema y los requisitos de
la operación aritmética binaria que desea implementar. Esto
incluye la selección de operaciones específicas, rangos de
valores admitidos y condiciones de contorno.

Asegúrese de agregar comentarios significativos a su código


VHDL. Explica la función, las señales importantes y las
partes de diseño de cada bloque.
Fig. 8 Mapeo de los pines del circuito del sumador de 2 número de 3 bits
Asegúrese de optimizar el uso de recursos en su diseño,
especialmente si planea implementarlo en hardware real.
Utilice herramientas de síntesis para analizar cómo su diseño
se asigna a la FPGA y realice los ajustes necesarios.
III. CONCLUSIONES
Steven Pilatasig
María del Cisne Apolo
VHDL permite describir el funcionamiento de circuitos 1. Dividir las operaciones aritméticas en módulos más
digitales en un nivel de abstracción más alto que el lenguaje pequeños y reutilizables ayuda a mantener un diseño
organizado y facilita futuras modificaciones o expansiones. V. BIBLIOGRAFÍA
Por ejemplo, separar la suma, resta, multiplicación y
división en módulos individuales simplifica el proceso de
depuración y optimización.

2. Al implementar operaciones aritméticas en VHDL, es


importante considerar la eficiencia en el uso de recursos
FPGA o ASIC. Utilizar tipos de datos adecuados y técnicas
de diseño que minimicen el consumo de recursos, como el
uso de registros en lugar de cables, puede contribuir
significativamente a la optimización general del diseño.

3. Antes de implementar operaciones aritméticas en


hardware real, realizar pruebas exhaustivas utilizando
simuladores VHDL es esencial. Las simulaciones permiten
identificar y corregir errores de diseño antes de la
implementación física. Además, las pruebas deben incluir
escenarios límite y casos de esquina para garantizar la
precisión y robustez del diseño.

Kevin Gómez

También podría gustarte