Está en la página 1de 4

PREPA

1. Consultar sobre 8 programas tanto pagados (4) como gratis (4) para utilizar VHDL con sus
caractersticas ms importantes.

Pagados

ActiveVHDL: las principales caractersticas son, implementacin rpida de diseos usando Text,
utilizacin de la interfaz de bloques de Matlab (simulink) y simulacin potente con un lenguaje mixto
comn como VHDL, Verilog, SystemVerilog (Diseo) y SystemC.

Leapfrog Cadence: este software de simulacin tiene la ventaja de ser uno de los simuladores ms
rpidos ya que no hace un cambio de lenguaje a C como en otras herramientas por lo que al
momento de simular ahorra mucho tiempo.

ModelSim: este software tiene una interfaz grfica mucho ms amigable con el usuario adems que
permite observar cada parte del proceso de simulacin y el comportamiento de cada variable con
ayuda de ventanas aparte.

Mentor Graphics Reinor: El sistema Renoir proporciona una entrada grfica HDL moderna para
generar cdigo sintetizable VHDL / Verilog a partir de esquemas fciles de usar, incluidas formas
bien conocidas, como, bloques de estado, tablas de flujo y tablas de verdad.

Gratuitos

PeakVHDL: es un software en el cual se usa para proyectos avanzados de diseo de circuitos, las
caractersticas que ofrece son, simulador, editor de cdigo, navegacin de jerarqua y recursos en
lnea para usuarios de VHDL.

Icarus Verilog: este software de simulacin tiene como ventaja principal un soporte para VHDL,
aunque es algo experimental.

GHDL: es un software en el cual es un compilador que traduce directamente archivos VHDL a cdigo
maquina sin utilizar un lenguaje intermedio como C o C++, por lo que el tiempo de compilar es
mucho menor en comparacin con otros que utilizan un lenguaje intermedio.

Synopsys: la principal caracterstica es que es una herramienta de alto nivel que permite valuar
arquitecturas alternativas y luego crear rpidamente una implementacin de nivel de puerta optima
adems de tener un diseo flexible.

2. Consultar sobre al menos 10 aplicaciones de VHDL en la industria

Las aplicaciones del lenguaje VHDL son muchas en diferentes reas a continuacin, se listan 10:

El acceso doble de dos sistemas a una memoria externa SRAM para control de un motor.
Control de envasado de pastillas en las que se requiere decisiones lgicas.
Cortador de precisin manejado por computador en el cual se necesita saber su posicin y
estado de los pulsadores.
Controlador de bomba de agua en el cual se llena un tanque mediante decisiones lgicas.
Control de calidad en el cual se tiene que observar fallas mediante laser.
Modelacin de sistemas digitales para luego su fabricacin comprobando el
comportamiento deseado.
Mantenimiento de un circuito electrnico en el cual se comprueba su funcionamiento
mediante medidas de voltaje (0 lgico y 1 lgico).
Transportador mecnico-digital en el cual se maneja velocidad e inclinacin.
Control de mquinas de estado finito (mquinas que tienen la capacidad de realizar clculos
en base a variables externas o eventos).
Automatizacin de un proceso industrial de empaque.

3. Consultar sobre la sintaxis bsica d VHDL.

Entidad: declaracin bsica de variables y puertos o seales las cuales se puede especificar el modo
como IN, OUT, BUFFER y INOUT.

Arquitectura: La declaracin bsica tiene por finalidad especificar la operatividad y funcionamiento


de la entidad. Las seales de puertos externos de una arquitectura se heredan desde la parte de
declaracin de puertos de la correspondiente declaracin de entidad, que se manifiesta y
representa de la siguiente forma sintctica:

4. Instalar GHDL, GTKWave y NotePad en al menos una computadora por grupo.

Instalacin de GHDL y GTKWave

5. Consultar las caractersticas del programador universal BK PRECISION 866 e instalar el


controlador BK PRECISION PG4UW.
El 866 es un programador universal de dispositivos con una extensa biblioteca de dispositivos que
se actualiza constantemente. Este programador ha sido diseado para un uso fcil y confiable y es
ideal para usar con computadoras porttiles / escritorio que no tienen interfaces de puerto paralelo.
Ya sea que est trabajando con PLCC, SOIC, TSOP, DIP, TQFP, SSOP, PSOP o QFP B & K Precisin tiene
una extensa lnea de adaptadores de socket para interactuar con cualquier paquete de IC. Este
programador es imprescindible para cualquier persona que pruebe, repare o programe cualquier
dispositivo electrnico que utilice un CI de memoria. El zcalo DIL ZIF (fuerza de insercin cero) de
48 clavijas acepta dispositivos de 300/600 mil hasta 48 pin.

6. Consultar, los conceptos necesarios y los fundamentos de programacin en VHDL. Describa que
es una entidad, arquitectura, proceso y defina las sentencias <=, with select y when-else.

Como en el numeral tres se explic entidad es la declaracin bsica de las variables y puertos
(canales de comunicacin) en el cual se especifica la salida o entrada con el comando MODO y los
valores que pueden tomar con el comando TIPO.

La arquitectura es donde se describe el funcionamiento de la entidad y por lo que antes de esta se


encuentra el proceso en el cual es un bucle infinito entre las sentencias begin y end process
(proceso), este bucle se detiene con el comando wait. A continuacin, se observa el uso de las
sentencias:

<=: Este smbolo es usado para la asignacin de entre seales que se encuentran dentro de la
arquitectura.

With select: Es similar a las sentencias CASE o SWITCH de C. La asignacin se hace segn el
contenido de un objeto o resultado de cierta expresin.

When else: Sentencia de seleccin mltiple. En hardware es necesario incluir todas las opciones
posibles. En este caso es obligatorio siempre acabar la expresin con un ELSE.

7. Disear un circuito que permita implementar la siguiente funcin booleana


library ieee;

use ieee.std_logic_1164.all;

entity logic is port(

A,B,C : in std_logic;

X: out std_logic);

end logic

architecture booleana of logic is

begin

X<= (NOT(C) AND NOT(B) AND A) OR

(NOT(C) AND B AND NOT(A)) OR

(C AND NOT(B) AND NOT(A)) OR

(C AND B AND A);

end booleana;

Bibliografa

https://www.aldec.com/en/products/fpga_simulation/active-hdl

http://www1.frm.utn.edu.ar/tecnicad1/_private/Apuntes/VHDL.pdf

http://www.bkprecision.com/products/discontinued/866-universal-device-programmer-with-usb-
interface.html

http://www.pldworld.com/_hdl/1/www.ireste.fr/fdl/vcl/tools/vtools.htm

https://www.researchgate.net/profile/Roberto_Herrera4/publication/302980267_Transportador_
Mecanico-
Digital_Usando_Programacion_VHDL/links/5734991a08ae298602dec376/Transportador-
Mecanico-Digital-Usando-Programacion-VHDL.pdf

http://ri.ues.edu.sv/9862/1/Principios%20del%20FPGA%20y%20aplicaciones%20en%20el%20cont
rol%20de%20procesos%20industriales.pdf

También podría gustarte