Documentos de Académico
Documentos de Profesional
Documentos de Cultura
Campus Querétaro
Practica 3
Contador Ascendente y Descendente del (0 al 9) y del (9 a 0)
Que presenta:
Estudiante de la carrera:
Ingeniería en electrónica
Docente
Asignatura
Fecha:20/03/2022
DISEÑO DIGITAL VHDL
I.- Introducción:
En esa práctica tendrá como finalidad el crear un programa que realice un conteo del
0 al 9 ascendentemente (0,1,2,3,4 … 9) y ascendentemente (9,8,7,6…0) y mostrarlos
en los displays de la FPGA, para esto es necesario el crear internamente un divisor
de reloj digital(de aproximadamente 1 s) ya incluido en la tarjeta para poder cambiar
de estado del 0 al 9 o del 9 al 0 , igualmente se tendrá que declarar un botón que
externamente se pueda elegir el modo del conteo si es ascendente o descendente y
por ultimo vincular la variable que lleva el conteo con un decodificar BCD a 7
segmentos y pasar el código a la FPGA para su demostración.
FPGA: es el acrónimo de Field Programmable Gate Arrays y no es más que una serie
de dispositivos basados en semiconductores a base de matrices de bloques lógicos
configurables o CLB, donde además se conectan a través de lo que en el sector se
denomina como interconexiones programables.
III.- Desarrollo:
----Programa -----------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity Contador is
port
clk : in std_logic;--reloj
);
end Contador;
begin
Count <= 1;
--------------------------
--------Ascendente---------
Q <= Q + 1;
if ( Q = "1001") then
Q <= "0000";
end if;
--------------------------
------Decendente----------
else
Q <= Q - 1;
if ( Q = "0000") then
Q <= "1001";
end if;
end if;
--------------------------
end if;
end if;
end process;
-----------Decodificador-----------
case Q is
end case;
selc<= "1110";
end process;
------------------------------------
end reloj;
---------------------------------------------------------------------------------------------------------------
--Pin planner –
DISEÑO DIGITAL VHDL
----------------------------------------------------------------------------------------------------------------
--Imágenes--
ASCENDENTE
DESCENDENTE
DISEÑO DIGITAL VHDL
IV.- Conclusiones:
Bibliografía:
----------------------------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------------