Está en la página 1de 4

Universidad Tecnológica del Perú

Circuitos Lógicos Combinacionales


Laboratorio Dirigido N°5
2019

Departamento de Electrónica Sede Lima Centro


Laboratorio de diseño digital usando
lenguaje de descripción de hardware VHDL
Circuitos Lógicos Decodificadores

1.- OBJETIVOS
Realizar el diseño electrónico digital utilizando las principales herramientas
del uso del lenguaje de descripción de hardware VHDL para realizar la
comprobación de los circuitos lógicos decodificadores.
I. Pre-laboratorio
a. ¿Qué es un decodificador integrado?
b. ¿Qué es un decodificador con compuertas lógicas?
c. Simular un circuito en base a compuertas lógicas que realice un decodificador
de 2 a 4 con compuertas logicas.

Figura 1. Decoder de 2 a 4
Construir el circuito del circuito de la Figura 1, utilizando el software Quartus y luego
realizar la simulación funcional del diseño del circuito Completar la tabla

S1 S0 Do D1 D2 D3
0 0
0 0
0 1
0 1
1 0
1 0
1 1
1 1

Departamento de Electrónica Sede Lima Centro


II. Laboratorio
a. Realizar el diseño basado en VHDL que nos permita obtener un
decodificador de 2 a 4 con habilitador ( E ).

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity decode_2to4_top is
Port ( A : in STD_LOGIC_VECTOR (1 downto 0); -- 2-bit input
X : out STD_LOGIC_VECTOR (3 downto 0); -- 4-bit output
EN : in STD_LOGIC); -- enable input
end decode_2to4_top;

architecture Behavioral of decode_2to4_top is


begin
process (A, EN)
begin
X <= "1111"; -- default output value
if (EN = '1') then -- active high enable pin
case A is
when "00" => X(0) <= '0';
when "01" => X(1) <= '0';
when "10" => X(2) <= '0';
when "11" => X(3) <= '0';
when others => X <= "1111";
end case;
end if;
end process;
end Behavioral;

b. Realizar la simulación en la herramienta de Simulación Funcional.


c. Grabar el diseño en la tarjeta de desarrollo DE-1Soc

Departamento de Electrónica Sede Lima Centro


III. Post-Laboratorio
a. Diseñar un sistema decodificador usando VHDL para visualizar en un
Display de siete segmentos de ánodo común las letras de la palabra
PELOTA
b. Diseñar un sistema decodificador usando VHDL que nos permita
obtener un sumador/restador con habilitador para dos números de 4
bits.

4
A Suma
B 4
???? Carry
Cin

Departamento de Electrónica Sede Lima Centro

También podría gustarte