Está en la página 1de 8

INSTITUTO TECNOLÓGICO SUPERIOR DE URUAPAN

ACADEMIA DE: _INGENIERIA_ELECTRONICA________________________


Revisión: 0
NOMBRE Y NÚMERO DE LA PRÁCTICA: _Compuertas lógicas
ASIGNATURA: Diseño digital con VHDL CLAVE: Fecha de
emisión 20 de
UNIDAD: SEMESTRE: 5 SEMESTRE Octubre de 2008

INSTITUTO TECNOLOGICO SUPERIOR DE


URUAPAN

INGENIERÍA ELECTRÓNICA

(5 SEMESTRE)

Diseño digital con VHDL


Compuertas AND, OR, NAND, NOR, EXOR, EXNOR

(Ing. Demian Venegas Salgado)

REPORTE PRESENTADO POR:

ARREDONDO AGUILAR ANGEL EDUARDO 100%


INSTITUTO TECNOLÓGICO SUPERIOR DE URUAPAN
ACADEMIA DE: _INGENIERIA_ELECTRONICA________________________
Revisión: 0
NOMBRE Y NÚMERO DE LA PRÁCTICA: _Compuertas lógicas
ASIGNATURA: Diseño digital con VHDL CLAVE: Fecha de
emisión 20 de
UNIDAD: SEMESTRE: 5 SEMESTRE Octubre de 2008

1. Objetivo.
Comprender el uso y funcionamiento práctico de la tarjeta basys 2
programada mediante VHDL en el software de Xilinx a través de la
programación de las compuertas lógicas AND, OR, NAND, NOR, EXOR y
EXNOR.

2. Introducción.
La tarjeta basys 2, es una de las muchas plataformas disponibles en el
mercado para el diseño de aplicaciones con VHDL, esta tarjeta está
pensada para el aprendizaje y como entrada a estas tecnologías. El
programa de implementación es diseñado en el software de Xilinx, sin
embargo, una vez generado el archivo de programación es necesario un
segundo software para cargarlo en la memoria de la tarjeta basys llamado
Adept.

En esta práctica aprenderemos el uso de esta tarjeta y se dará un repaso a


los temas de compuertas lógicas mediante ella.

3. Material y Equipo.
Computadora con software Xilinx y Adept instalados.
Tarjeta Basys 2

4. Procedimiento Experimental.
La siguiente tabla de verdad muestra los resultados teóricos que se deben
obtener en la tarjeta.
B A AND OR NAND NOR EXOR EXNOR
0 0 0 0 1 1 0 1
0 1 0 1 1 0 1 0
1 0 0 1 1 0 1 0
1 1 1 1 0 0 0 1

Después de generar un proyecto nuevo con archivo .vhd nuevo en el cual se


le escribió el siguiente código.
INSTITUTO TECNOLÓGICO SUPERIOR DE URUAPAN
ACADEMIA DE: _INGENIERIA_ELECTRONICA________________________
Revisión: 0
NOMBRE Y NÚMERO DE LA PRÁCTICA: _Compuertas lógicas
ASIGNATURA: Diseño digital con VHDL CLAVE: Fecha de
emisión 20 de
UNIDAD: SEMESTRE: 5 SEMESTRE Octubre de 2008

----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 07:44:58 09/09/2021
INSTITUTO TECNOLÓGICO SUPERIOR DE URUAPAN
ACADEMIA DE: _INGENIERIA_ELECTRONICA________________________
Revisión: 0
NOMBRE Y NÚMERO DE LA PRÁCTICA: _Compuertas lógicas
ASIGNATURA: Diseño digital con VHDL CLAVE: Fecha de
emisión 20 de
UNIDAD: SEMESTRE: 5 SEMESTRE Octubre de 2008

-- Design Name:
-- Module Name: compuertasvhdlmod - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

-- Uncomment the following library declaration if using


-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating


-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity compuertasvhdlmod is
Port ( A : in STD_LOGIC;
B : in STD_LOGIC;
SAND : out STD_LOGIC;
SOR : out STD_LOGIC;
SNAND : out STD_LOGIC;
SNOR : out STD_LOGIC;
SXOR : out STD_LOGIC;
SXNOR : out STD_LOGIC);
end compuertasvhdlmod;

architecture Behavioral of compuertasvhdlmod is

begin
INSTITUTO TECNOLÓGICO SUPERIOR DE URUAPAN
ACADEMIA DE: _INGENIERIA_ELECTRONICA________________________
Revisión: 0
NOMBRE Y NÚMERO DE LA PRÁCTICA: _Compuertas lógicas
ASIGNATURA: Diseño digital con VHDL CLAVE: Fecha de
emisión 20 de
UNIDAD: SEMESTRE: 5 SEMESTRE Octubre de 2008

SAND <= A AND B;


SOR <= A OR B;
SNAND <= A NAND B;
SNOR <= A NOR B;
SXOR <= A XOR B;
SXNOR <= A XNOR B;

end Behavioral;

Y el archivo de asignación de entradas y salidas .ucf con el siguiente código

# PlanAhead Generated physical constraints


NET "A" LOC = P11;
NET "B" LOC = L3;
NET "SAND" LOC = M5;
NET "SOR" LOC = M11;
NET "SNAND" LOC = P7;
NET "SNOR" LOC = P6;
NET "SXOR" LOC = N5;
NET "SXNOR" LOC = N4;

Se procede a iniciar la carga con el software Adept


INSTITUTO TECNOLÓGICO SUPERIOR DE URUAPAN
ACADEMIA DE: _INGENIERIA_ELECTRONICA________________________
Revisión: 0
NOMBRE Y NÚMERO DE LA PRÁCTICA: _Compuertas lógicas
ASIGNATURA: Diseño digital con VHDL CLAVE: Fecha de
emisión 20 de
UNIDAD: SEMESTRE: 5 SEMESTRE Octubre de 2008

5. Resultados.
B=0 A=0

B=0 A=1
INSTITUTO TECNOLÓGICO SUPERIOR DE URUAPAN
ACADEMIA DE: _INGENIERIA_ELECTRONICA________________________
Revisión: 0
NOMBRE Y NÚMERO DE LA PRÁCTICA: _Compuertas lógicas
ASIGNATURA: Diseño digital con VHDL CLAVE: Fecha de
emisión 20 de
UNIDAD: SEMESTRE: 5 SEMESTRE Octubre de 2008

B=1 A=0

B=1 A=1

6. Conclusión
En esta práctica realizamos una programación sencilla para realizar las 6
compuertas en un solo programa mediante la asignación de una salida
diferente para cada salida de compuerta, se decidió así debido a que se
sabe que la tarjeta tiene potencia de sobra para realizar estas tareas. VHDL
es un lenguaje fácil de comprender, únicamente hay que acostumbrarse a
las diferencias de sintaxis que tiene respecto al acostumbrado C++.

Elaboró Revisó:
:
INSTITUTO TECNOLÓGICO SUPERIOR DE URUAPAN
ACADEMIA DE: _INGENIERIA_ELECTRONICA________________________
Revisión: 0
NOMBRE Y NÚMERO DE LA PRÁCTICA: _Compuertas lógicas
ASIGNATURA: Diseño digital con VHDL CLAVE: Fecha de
emisión 20 de
UNIDAD: SEMESTRE: 5 SEMESTRE Octubre de 2008

Profesor Academia de “Nombre de la Academia”

Fecha de elaboración: Fecha de


_______________________ revisión:_____________________________

También podría gustarte