Está en la página 1de 6

Nombre UEA: Laboratorio de diseño lógico

“Practica 9”

Fecha de entrega:

OBJETIVOS

1. Caracterizar registros.

2. Diseñar registros empleando VHDL.

MARCO TEÓRICO

Registro.

El registro comprende un grupo de Flip-Flops. Puesto que cada Flip-Flop es


capaz de almacenar un bit de información, el registro de n bits, incluidos n Flip-
Flops, puede almacenar n bits de información binaria. Por lo general los registros
están hechos con Flip-Flops tipo D.

Los registros son circuitos sencillos, en donde estos se depositan ciertos valores
de un dado numero de bits, al son del tic tac del reloj. Estos se usan para
direccionar la memoria y llevar la cuenta de donde esta un programa
ejecutándose, almacenar un valor y un largo etc. Usando una definición mas corta
los registros consisten en un grupo de Flip-Flops y compuertas o bloques que
efectúan su transición.

Los Flip-Flops contienen la información binaria y las compuertas determinan como


esa información se trasfiere al registro.

Existen dos tipos de cargar los datos a los registros:

1. Transferencia en paralelo.

Los registros paralelos almacenan información presente en sus entradas, en


paralelo. Para esto usan un pulso de habilitación o un flaco de una señal de
reloj. El uso de estos registros es muy extendido en aplicaciones en las que se
precisa un almacenamiento temporal de la información. También estos se
suelen utilizar para almacenar datos y direcciones en las operaciones de
escritura y lectura de las memorias, por parte d ellos microprocesadores.

La transferencia de información nueva a un registro se le conoce como carga


de registro. Si todos los bits del registro se cargan de manera simultánea con
un pulso de reloj común, decimos que la carga se hace en paralelo, tal y como
se muestra en la tabla no. 1.
En la Figura no. 2 se muestra el circuito de un registro de cuatro bits con carga
en paralelo.

2. Transferencia en serie.

Se dice que un sistema digital opera en modo serial cuando la información del
sistema se transfiere un bit a la vez. Esto se lleva a cabo desplazando los bits
de un registro al siguiente.

En la transmisión en serie tiene dos subclases: síncrona y asíncrona.

En la transmisión asíncrona, se añade un bit adicional a cada byte para el


receptor esta alerta de la llegada de nuevos datos. Por lo general 0 es un bit de
inicio y 1 es el bit de parada. En la transmisión síncrona no añadimos ningún
bit adicional, sino que los datos transferidos en forma de trampas contienen
múltiples bytes

Mantener Estado.

Mantener estado significa que el valor de salida de un Flip-Flop no cambie. En


la Figura no. 1 se muestra que el estado siguiente de un Flip-Flop tipo D es
igual al valor de la entrada D, por lo tanto, este tipo de Flip-Flop no puede
mantener el estado. Para que pueda mantener el estado se requiere que el
estado siguiente del Flip-Flop sea igual al estado presente, es decir, que la
entrada D deba ser igual al estado presente (D = Q). Esto se logra conectando
la salida Q del Flip-Flop a su entrada D, tal y como se muestra en la Figura no.
4.

Registro de Desplazamiento.

Un registro capaz de desplazar sus bits almacenados en uno o ambos sentidos


se llama registro de desplazamiento. El registro de desplazamiento más
sencillo sólo emplea Flip-Flops D, como se ilustra en el circuito de la Figura no.
3. La salida de cada Flip-Flop se conecta a la entrada D del Flip-Flop a su
derecha. Todos los Flip-Flops comparten el reloj. La entrada serial SI es la
entrada del Flip-Flops de la extrema izquierda durante el desplazamiento. La
salida serial SO se toma de la salida del Flip-Flops de la derecha extrema. En
la tabla no. 2 se muestra el funcionamiento de un registro de desplazamiento a
la derecha:
Resumiendo, el Registro de desplazamiento, es todo circuito el cual transforma un
dato en formato serie a formato paralelo o viceversa donde todas las operaciones
son sincronizadas por una señar de reloj externa. Este tiene dos entradas, una de
las cuales se puede usar como habilitación.

El reloj es activo por flanco ascendente.

El rest es activo bajo y asincrónico.

El reloj es un circuito real donde los datos pasan al registro de desplazamiento con
cada pulso de reloj.

Flip-Flop tipo D.

En un Flip-Flop tipo D el estado siguiente es igual a la entrada D, esto permite


almacenar un bit de información en cada pulso de reloj.

Almacena el valor que está en la línea de datos, se puede considerar como una
celda básica de memoria. Un Flip-Flop D se puede hacer con un Flip-Flop
ser/Reset uniendo la salida set con la salida Reset a través de un inversor.
Bibliografía:

EcuRed. (s. f.). Registro de desplazamiento - EcuRed. Recuperado 25 de septiembre de

2021, de https://www.ecured.cu/Registro_de_desplazamiento

VHDL: registro multifunción con carga, reseteo, desplazamiento y rotación •. (2019, 14

agosto). JnjSite.com. https://jnjsite.com/vhdl-registro-multifuncion-con-carga-en-

paralelo-desplazamiento-y-rotacion/

Al-Abdullatif, O. (s. f.). Libro VHDL - temas vhdl - Diseño digital con VHDL - Diseño

digital 1 -. StuDocu. Recuperado 25 de septiembre de 2021, de

https://www.studocu.com/es-mx/document/instituto-tecnologico-de-orizaba/diseno-

digital-con-vhdl/libro-vhdl-temas-vhdl/12624962

También podría gustarte