Documentos de Académico
Documentos de Profesional
Documentos de Cultura
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity cont_60 is
port(CLK: in std_logic;
);
end cont_60;
component Display is
end component;
begin
--Divisor de frecuencia
process(CLK)
begin
end if;
end process;
process(CLK)
begin
C1 <= C1 + 1;
else
C1 <= "0000";
C2<= C2 +1;
else
C2 <= "0000";
end if;
end if;
end if;
end process;
end uno;
Figura ¿?
Codificador de un contador 60
Así es como queda en VDHL la figura N0. 1. Del contador modulo 60. El cual
funciona sin ningún error
Figura ¿? RTL de un contador 60
En el RTL podemos observar que se cumplen los datos de manera correcta