Documentos de Académico
Documentos de Profesional
Documentos de Cultura
División CBI
Departamento de Electrónica
Equipo 1
Diego Ivan Sanchez Reyes 2202015818
Luis Axel Estarrón Navarro 2222005818
Maribel Espinoza Santiago 2213032370
Profesor: Francisco Javier Sanchez Rangel
Grupo: CEL 01
Trimestre: 23-I
MARCO TEÓRICO.
1. Describir qué es una memoria RAM.
La memoria RAM acepta información nueva y la almacena para usarla después. El
proceso de almacenamiento de información nueva en la memoria se le conoce
como operación de escritura en memoria. El proceso de transmisión de la
información almacenada en la memoria se le conoce como lectura de la memoria.
La información binaria se almacena en la memoria en grupo de bits, que se llaman
palabras. Una palabra es una entidad de bits que entra y sale de la memoria como
unidad.
La memoria RAM tiene dos características que la diferencian del resto de tipos de
almacenamiento. Por una parte, tiene una enorme velocidad, y por otra los datos
sólo se almacenan de forma temporal. Esto quiere decir que cada vez que reinicies o
apagues tu ordenador, lo normal es que los datos que haya almacenados en la RAM
se pierdan. Teniendo en cuenta que la RAM sirve para que el ordenador o dispositivo
móvil gestione los datos de las aplicaciones en funcionamiento, la cantidad que
tengas afecta directamente al rendimiento de tu dispositivo. Cuanta más RAM
tengas más aplicaciones podrás gestionar a la vez, y de ahí su importancia porque si
no hay suficiente el ordenador puede ir lento. A lo que te suelen referir como
memoria RAM cuando estás hablando de ella como un componente físico es a unas
tarjetas que van conectadas directamente a la placa base de tu ordenador. Estas
tarjetas tienen diferentes módulos de memoria integrada que están conectados
entre sí, y en dispositivos como ordenadores hay ranuras para tener varias de estas
tarjetas. La información binaria se almacena en la memoria en grupo de bits, que se
llaman palabras. Una palabra es una entidad de bits que entra y sale de la memoria
como unidad. Un grupo de ocho bits se llama byte. La mayor parte de las memorias
emplean palabras que son múltiplos de ocho bits. Generalmente, la capacidad de
una unidad de memoria se indica como la cantidad de bytes que puede almacenar.
2. Mencionar los distintos tipos de memorias RAM que existen y comentar
cómo funcionan.
Existen dos tipos de memoria RAM. Las memorias de tipo DDR (Double Data Rate)
se caracterizan por ser capaces de llevar a cabo dos operaciones en cada ciclo de
reloj, a diferencia de las de tipo SDR (Single Data Rate), que solo ejecutan una
operación de lectura o escritura. Para hacerlo posible los chips DDR se activan dos
veces en cada ciclo de la señal de reloj, bien por nivel (alto o bajo), bien por anco (de
subida o bajada).
DESARROLLO TEÓRICO.
1. Diseñe en VHDL una Memoria RAM de 16x8, la memoria debe tener salida
con buffers de tres estados. El diagrama a bloques de la memora RAM se
muestra en la Figura no. 1.
entity decoder_BINto7HEX is
Port(B: in std_logic_vector(3 downto 0);
H: out std_logic_vector(6 downto 0)
);
end decoder_BINto7HEX;
end decoder_BINto7HEX_arch;
component decoder_BINto7HEX is
Port(B: in std_logic_vector(3 downto 0);
H: out std_logic_vector(6 downto 0)
);
end component;
begin
--Divisor de frecuencias
process(CLK)
begin
if CLK'event and CLK='1' then
Div<=Div+1;
end if;
end process;
RELOJ<= Div(25);
--Memoria RAM
MEMORY out<=MEMORY(to_integer(unsigned(ADDRESS))) when READ='1' else (others=>'Z');
process(RELOJ)
begin
if RELOJ'event and RELOJ='1' then
if WRI='1' then
MEMORY(to_integer(unsigned(ADDRESS)))<=Din;
end if;
Dout<=MEMORYout;
end if;
end process;
--Displays
Display0: decoder_BINto7HEX port map(ADDRESS,Display_ADDRESS);
Display1: decoder_BINto7HEX port map(Dout(3 downto 0),Display_DATA0_aux);
Display1: decoder_BINto7HEX port map(Dout(7 downto 4),Display_DATA1_aux);
Display_DATA0<=Display_DATA0_aux when RE='1' else "1111111";
Display_DATA1<=Display_DATA1_aux when RE='1' else "1111111";
end RAM_16x8_arch;
MATERIAL Y EQUIPO.
• Computadora PC.
• Software Quartus II.
• Tarjeta de desarrollo ALTERA DE2.
DESARROLLO EXPERIMENTAL.
1) RAM 16x8
CONCLUSIONES INDIVIDUALES.
En esta práctica se llevó a cabo un circuito, el cual tenía como objetivo principal conocer el
funcionamiento de las memorias RAM y con ello diseñar uno, el cual tenía que trabajar con
el write y el read. En este proyecto se tuvieron que asignar varios pines para que al momento
de bajar el código a la tarjeta pudiera mostrar la escritura y la lectura de la información que
había indicado el profesor. Por lo que en esta práctica no hubo problemas y se pudo cumplir
con el objetivo del proyecto.