Está en la página 1de 14

lOMoARcPSD|7936850

Sumadores y Restadores digitales

Electrónica digital (Instituto Tecnológico de León)

StuDocu no está patrocinado ni avalado por ningún colegio o universidad.


Descargado por Neiber Zambrano (neiberzambran@gmail.com)
lOMoARcPSD|7936850

Sumadores y Restadores
Electrónica Digital
Juan Antonio Padilla Moreno, Carlos Alberto Cardona Caudillo, Luis Rodrigo
López Salas, Luis Iván Aceves Medina & Gustavo Castillo Sánchez
Ing. Francisco Carlos Mejía Alanís

Objetivo de la práctica cualquier cambio afectará dichas operaciones


inmediatamente.
Diseñar e implementar dos circuitos
sumadores-restadores binarios, a 2 y 8 bits, Marco teórico
utilizando operaciones y elementos lógicos
Un circuito combinacional consiste en
combinacionales.
compuertas lógicas cuyas salidas en cualquier
Objetivos específicos momento están determinadas por la
combinación actual de entradas. Un circuito
• Realizar el diseño de los sumadores- combinacional realiza una operación que se
restadores utilizando como puede especificar lógicamente con un
herramienta las tablas de verdad y la conjunto de funciones booleanas.
simplificación de funciones de
carácter booleano. Un circuito combinacional consta de variables
• Con la función booleana simplificada, de entrada, compuertas lógicas y variables de
diseñar un circuito equivalente en salida. Las compuertas lógicas aceptan señales
compuertas lógicas. de las entradas y generan señales para las
• Implementar en una proto-board el salidas. Este proceso transforma información
circuito diseñado y verificar que los binaria, de los datos de entrada dados a los
resultados de la salida coincidan con datos de salida requeridos.
los esperados de forma teórica. Cualquier problema lógico puede resolverse
Introducción mediante el uso del siguiente procedimiento:

Se denomina sistema combinacional o lógica 1.) Interprete el problema y establezca


combinacional a todo sistema digital en el que una tabla de verdad para describir su
sus salidas son función exclusiva del valor de operación.
sus entradas en un momento dado, sin que 2.) Escriba el término AND para cada
intervengan en ningún caso estados anteriores caso en el que la salida sea 1.
de las entradas o de las salidas. Las funciones 3.) Escriba la expresión de suma de
OR, AND, NAND y XOR son booleanas, productos para la salida.
donde cada función se puede representar en 4.) De ser posible simplifique la
una tabla de la verdad. Por tanto, carecen de expresión de salida.
memoria y de retroalimentación, lo cual nos 5.) Implemente el circuito para la
permite afirmar que las operaciones realizadas expresión final simplificada.
a través del uso de éste tipo de lógica solo son He aquí un ejemplo:
efectivas de forma instantánea, porque

Descargado por Neiber Zambrano (neiberzambran@gmail.com)


lOMoARcPSD|7936850

Diseñe un circuito lógico que tenga tres compuertas AND conectadas con una sola
entradas A, B y C, y cuya salida esté en ALTO compuerta OR.
sólo cuando la mayoría de sus entradas estén
en ALTO.
Paso 1.)
Con base en el enunciado del problema, la
salida x deberá ser 1 siempre que dos o más
entradas sean 1; para todos los demás casos, la
salida deberá ser 0.

Ilustración 1. Circuito implementado que da solución al


A B C x Término problema planteado
AND La lógica combinacional nos abre espacio al
0 0 0 0
estudio de los sumadores.
0 0 1 0
0 1 0 0 Estas invenciones son muy importantes no
0 1 1 1 𝐴̅𝐵𝐶 solamente en las computadoras, sino en
1 0 0 0 muchos tipos de sistemas digitales en los que
1 0 1 1 𝐴𝐵̅𝐶 se procesan datos numéricos. Comprender el
1 1 0 1 𝐴𝐵𝐶̅ funcionamiento de un sumador básico es
1 1 1 1 ABC fundamental en el estudio de los sistemas
digitales.
Paso 2.) El semi-sumador
Se observa que existen 4 casos así. Hay que Recordemos las reglas básicas de la suma
formar los términos AND. Se puede ver que binaria:
cada minitérmino contiene cada variable de
entrada en su forma invertida o no invertida. 0+0=0

Paso 3.) 0+1=1

Escriba la expresión de suma de productos 1+0=1


para la salida.
1 + 1 = 10
𝑥 = 𝐴̅𝐵𝐶 + 𝐴𝐵̅ 𝐶 + 𝐴𝐵𝐶̅ + 𝐴𝐵𝐶 Todas estas operaciones se realizan mediante
Paso 4.) un circuito lógico denominado semi-sumador.

Simplificando la función por álgebra booleana Un semi-sumador admite dos dígitos binarios
resulta la siguiente expresión: en sus entradas y genera dos dígitos binarios
en sus salidas: un bit de suma y un bit de
𝑥 = 𝐵𝐶 + 𝐴𝐶 + 𝐴𝐵 acarreo. Los semi-sumadores se representan
Paso 5.) mediante el siguiente símbolo lógico:

Implementando el circuito para la expresión


final. El circuito consiste de un grupo de

Descargado por Neiber Zambrano (neiberzambran@gmail.com)


lOMoARcPSD|7936850

salida de la suma se obtiene mediante una


puerta OR-exclusiva. Hay que recordar que la
operación OR-exclusiva se implementa con
puertas AND, una puerta OR e inversores.

Ilustración 2. Símbolo lógico de un medio sumador o


también llamado semi-sumador

La lógica del semi-sumador consiste en que, a


partir de las expresiones correspondientes a la
suma y al acarreo de salida se pueden obtener
como funciones de las entradas. Ilustración 4. Implementación del circuito lógico semi-
sumador
Se anexa la tabla de verdad para ver el
El sumador completo
funcionamiento de la lógica del semi-sumador.
Un sumador acepta dos bits de entrada y un
acarreo de entrada, y genera una salida de
suma y un acarreo de salida. La diferencia
principal entre un sumador completo y un
semi-sumador es que el sumador completo
acepta un acarreo de entrada. El símbolo
lógico de un sumador completo es el siguiente:

Ilustración 3. Tabla de verdad del semi-sumador

Se puede observar que la salida de acarreo Ilustración 5. Símbolo lógico del sumador completo
(𝐶𝑜𝑢𝑡 ) es 1 sólo cuando A y B son 1; por tanto,
La lógica del sumador completo consiste en
𝐶𝑜𝑢𝑡 puede expresarse como una operación
sumar dos bits de entrada y un acarreo de
AND de las variables de entrada.
entrada. Del semi-sumador sabemos que la
𝐶𝑜𝑢𝑡 = 𝐴𝐵 suma de los bits de entrada A y B es la
operación OR-exclusiva de esas dos variables,
También se puede ver que la salida A ⊕ B. Para sumar el acarreo de entrada (𝐶𝑖𝑛 )
correspondiente a la suma (Σ) es 1 sólo si las a los bits de entrada, hay que aplicar de nuevo
variables A y B son distintas. Por tanto, la la operación OR-exclusiva, obteniéndose la
suma puede expresarse como una operación siguiente ecuación para la salida de suma del
OR-exclusiva de las variables de entrada. sumador completo:
Σ=𝐴⊕𝐵 𝛴 = (𝐴 ⊕ 𝐵) ⊕ 𝐶𝑖𝑛
A partir de las ecuaciones deducidas, se puede A continuación, la tabla de verdad:
desarrollar la implementación lógica del
funcionamiento de un semi-sumador. La salida
de acarreo se produce mediante una puerta
AND, siendo A y B sus dos entradas, y la

Descargado por Neiber Zambrano (neiberzambran@gmail.com)


lOMoARcPSD|7936850

Como se vio en la ilustración, la ecuación que


describe el sumador completo es la siguiente:

𝐶𝑜𝑢𝑡 = 𝐴𝐵 + (𝐴 ⊕ 𝐵)𝐶𝑖𝑛

Ilustración 6. Tabla de verdad del circuito sumador


completo
Ilustración 8. Sumador completo implementado
Para implementar la función del sumador mediante semi-sumadores
completo se pueden utilizar dos puertas
XOR de 2 entradas. La primera tiene que
generar el término A ⊕ B, y la segunda tiene Ahora que sabemos cómo implementar un
como entradas la salida de la primera puerta sumador lógico completo y sabemos también
XOR y el acarreo de entrada. Esto se ilustra que un único sumador completo es capaz de
con la imagen siguiente: sumar dos números binarios de 1 bit y un
acarreo de entrada. Para sumar números
binarios de más de un bit, se tienen que utilizar
sumadores completos adicionales.
Para sumar dos números binarios, se necesita
un sumador completo por cada bit que tengan
los números que se quieren sumar. Así, para
números de dos bits se necesitan dos
Ilustración 7. Implementación del circuito sumador sumadores, para números de cuatro bits hacen
completo falta cuatro sumadores, y así sucesivamente.
La salida de acarreo de cada sumador se
De la ilustración podemos destacar que cada
conecta a la entrada de acarreo del sumador de
semi-sumador se representa con un área
orden inmediatamente superior.
sombreada.
Sumadores en paralelo de cuatro bits
El acarreo de salida es 1 cuando las dos
entradas de la primera puerta XOR están a 1 o Un grupo de cuatro bits se denomina nibble.
cuando las dos entradas de la segunda puerta Un sumador básico en paralelo de 4 bits se
XOR están a 1. El acarreo de salida del implementa mediante cuatro sumadores
sumador completo se obtiene por tanto del completos, los bits menos significativos de
producto lógico (AND) de las entradas A y B, cada número que se suma, se introducen en el
y del producto lógico de A ⊕ B y 𝐶𝑖𝑛 . Después sumador completo que está más a la derecha;
se aplica la operación OR a estos dos términos. los bits de orden más alto se introducen
Esta función se implementa y se combina con sucesivamente en los siguientes sumadores,
la lógica de la suma para formar un circuito aplicando los bits más significativos de cada
sumador completo. número al sumador que está más a la izquierda.

Descargado por Neiber Zambrano (neiberzambran@gmail.com)


lOMoARcPSD|7936850

La salida de acarreo de cada sumador se entrada de acarreo del sumador de orden


conecta a la entrada de acarreo del siguiente superior. Este proceso se denomina conexión
sumador de orden superior. Estos acarreos se en cascada. Para esta configuración el acarreo
denominan acarreos internos. de salida se designa como 𝐶8 , dado que se
genera a partir del bit que se encuentra en la
posición número ocho. El sumador de menor
orden es el que realiza la suma de los cuatro
bits menos significativos, mientras que el
sumador de orden superior es el que suma los
cuatro bits más significativos de los dos
números binarios de 8 bits.

Ilustración 9. Diagrama de bloques de un sumador de 4


bits

A continuación, la tabla de verdad del sumador


de 4 bits:

Ilustración 11. Sumadores de 4 bits conectados en


cascada que forman un sumador de 8 bits

Método
Parte 1. Se realiza la tabla de la verdad y los
mapas de Karnaugh para la simplificación del
circuito sumador-restador de 2 bits y sumador-
Ilustración 10. Tabla de verdad sumador de 4 bits restador de 8 bits.
Un ejemplo de un sumador paralelo de 4 bits En la tabla 1, se muestra la tabla de la verdad
que está disponible como circuito integrado para un sumador completo, que son 3 variables
es el 74LS283. Este dispositivo está de entrada (X, Y, Z), 1 carry (C) y una salida
disponible en las familias TTL y CMOS. (S). En esta práctica solo vamos a utilizar 4
variables de entrada para el sumador-restador
En el anexo de este documento se encontrará
de 2 bits (A0, B0-A1-B1) que representan 2
el datasheet de este circuito integrado.
números y para el sumador-restador de 8 bits
Un sumador en paralelo de 4 bits se puede vamos a utilizar 16 variables de entrada (A0,
expandir para realizar sumas de dos números B0-A1, B1-A2, B2-A3, B3-A4, B4-A5, B5-
de 8 bits, utilizando dos sumadores de cuatro A6, B6-A7, B7) que representan 2 números
bits. La entrada de acarreo del sumador de enteros en el sistema decimal.
menor orden (𝐶0 ) se conecta a tierra, ya que no
En la ilustración 1 se muestra los mapas de
existe acarreo en la posición del bit menos
Karnaugh de la tabla 1, la cual simplifica la
significativo, y la salida de acarreo del
función que es:
sumador de menor orden se conecta a la

Descargado por Neiber Zambrano (neiberzambran@gmail.com)


lOMoARcPSD|7936850

Para la salida “S” se encuentra en el apartado de anexos para ver


sus conexiones internas. Y para hacer la
𝑆 = 𝑋’𝑌’𝑍’ + 𝑋’𝑌𝑍’ + 𝑋𝑌’𝑍’ + 𝑋𝑌𝑍 función de resta solo se añadió en las entradas
Para el carry “C” de “B” una compuerta XOR (74ls86).

𝐶 = 𝑋𝑌 + 𝑋𝑌’𝑍 + 𝑋’𝑌𝑍 También puede implementarse con dos


semisumadores y una compuerta OR, como se
𝐶 = 𝑋𝑌 + 𝑋𝑍 + 𝑌𝑍 indica en la ilustración 2. La salida S del
segundo semisumador es el OR exclusivo de z
y la salida del primer semisumador, lo que da:
Tabla 1. Sumador Completo.
𝑆 = 𝑧 ⊕ (𝑥 ⊕ 𝑦)
∴ 𝑆 = 𝑥𝑦𝑧 + 𝑥𝑦𝑧 + 𝑥𝑦𝑧 + 𝑥𝑦’𝑧
La salida de acarreo es:

𝐶 = 𝑧(𝑥𝑦 + 𝑥𝑦) + 𝑥𝑦

∴ 𝐶 = 𝑥𝑦𝑧 + 𝑥𝑦𝑧 + 𝑥𝑦

Ilustración 13. Implementación de un sumador


completo con dos semisumadores y una compuerta OR.

Ilustración 12. Mapas para el sumador completo

Parte 2. Se realiza la simplificación de las Desarrollo


tablas de verdad de la Parte 1 por el método de
preferencia del diseñador, para ésta práctica el Primeramente se diseñaron los circuitos
equipo tomó la decisión de utilizar el método sumadores-restadores en proteus.
de los Mapas de Karnaugh.
En la ilustración 3 se muestra el diseño del
Para el circuito sumador-restador de 2 bits se circuito sumador-restador de 2 bits en proteus
utilizó 2 veces el circuito de la ilustración 2 del cual fue dos veces del circuito de la
simplificado de los mapas de Karnaugh de la ilustración 2, solamente se añadieron
ilustración 1. compuertas XOR en las entradas B para hacer
la función de resta. El LED 1 indica la salida
Para el sumador-restador de 8 bits, se utilizó el
S1, el LED 2 indica la salida S2 y el LED 3
circuito integrado 74ls83 que es un sumador
indica el LED de carry o el de adeudo
completo de 4 bits, en este caso se utilizaron 2
dependiendo si es suma o resta la operación.
circuitos integrados. La datasheet del 74ls83

Descargado por Neiber Zambrano (neiberzambran@gmail.com)


lOMoARcPSD|7936850

En la ilustración 4 se muestra el diseño del Sumador-restador de 2 bits:


circuito sumador-restador de 8 bits en proteus
Suma
del cual se hizo con dos circuitos integrados
74ls83 que, como ya se ha mencionado, son
sumadores completos de 4 bits, además en las
entradas B se añadió una compuerta Xor para
hacer la función de resta del circuito, el LED 1
indica la salida S1 y así sucesivamente, el LED
9 indica el carry o el adeudo dependiendo de
la operación si es suma o resta. También se
añadió una compuerta NOT y una compuerta
AND en C0 y C4 que son los carries de entrada
y salida del circuito integrado 74ls83, los
cuales se conectan al switch de suma (0) y
resta (1) para hacer las operaciones normales,
sin complemento A2. Ilustración 16. Sumador-restador de 2 bits con entradas
en bajo (0).

Los 4 interruptores que representan los dos


números “A” y “B” se colocan en bajo,
entonces el circuito hace la operación:

00 + 00 = 00
Éste resultado se refleja en los LEDs del
circuito, donde se observa que todos los LEDs
de salida se encuentran apagados.

Ilustración 14. Sumador-Restador de 2 bits.

Ilustración 17. Sumador-Restador de 2 bits con


entradas en alto (1).

Los 4 interruptores que representan los dos


números “A” y “B” se colocan en alto,
Ilustración 15. Sumador-Restador de 8 bits. entonces el circuito hace la operación:

Después se implementaron los circuitos 11 + 11 = 110


sumadores-restadores en la proto-board.
Éste resultado se refleja en los LEDs de salida
donde los últimos 2 LEDs corresponden a los

Descargado por Neiber Zambrano (neiberzambran@gmail.com)


lOMoARcPSD|7936850

últimos 2 dígitos del resultado numérico,


estando encendido el penúltimo y apagado el
último, mientras que el primero, que
corresponde al flag del carry, se encuentra
encendido, indicando que el resultado
numérico no es representable con solo 2 bits.

Ilustración 19. Sumador-Restador de 2 bits con A1 en


alto y A0, B0 y B1 en bajo.

Los 2 últimos interruptores, que representan al


número “A”, se colocan en bajo, y los 2
primeros interruptores, que corresponden al
“B”, se colocan el primero en alto y el último
en bajo, entonces el circuito hace la operación:

Ilustración 18. Sumador-Restador de 2 bits con A0, A1 10 + 00 = 10


en alto y B0, B1 en bajo.
Éste resultado se refleja en los LEDs de salida
Los 2 últimos interruptores, que representan al donde los últimos 2 LEDs corresponden a los
número “A”, se colocan en bajo, y los 2 2 dígitos propios del resultado real, estando
primeros interruptores, que corresponden al encendido el penúltimo y apagado el último, el
“B”, se colocan en alto, entonces el circuito primero, que corresponde al flag del carry, se
hace la operación: encuentra apagado, indicando que el resultado
sí es representable con solo 2 bits.
11 + 00 = 11
Éste resultado se refleja en los LEDs de salida
donde los últimos 2 LEDs corresponden a los
2 dígitos propios del resultado numérico, los
cuales están encendidos, y el primero, que
corresponde al flag del carry, se encuentra
apagado, indicando que el resultado sí es
representable con solo 2 bits.

Ilustración 20. Sumador-Restador de 2 bits con A0, A1


y B0 en alto y B1 en bajo.

Los 2 últimos interruptores, que representan al


número “A”, se colocan el penúltimo en bajo,
y el último en alto, mientras que los 2 primeros

Descargado por Neiber Zambrano (neiberzambran@gmail.com)


lOMoARcPSD|7936850

interruptores, que corresponden al “B”, se


colocan en alto, entonces el circuito hace la
operación:

11 + 01 = 100
Éste resultado se refleja en los LEDs de salida,
donde los últimos 2 LEDs corresponden a los
2 últimos dígitos propios del resultado
numérico, estando apagados ambos, mientras
que el primero, que corresponde al flag del
carry, se encuentra encendido, indicando que
el resultado no es representable con solo 2 bits.
Ilustración 23. Sumador-Restador de 2 bits con las
entradas en alto en complemento A2.

Resta Sumador-Restador de 8 bits:


Suma

Ilustración 21. Sumador-Restador de 2 bits con A0, A1,


B1 en alto y B0 en bajo, en complemento A2.
Ilustración 24. Sumador-Restador de 8 bits con todas
sus entradas en alto (1).

Los 2 dipswitch que representan los dos


números “A” y “B” se colocan en alto (1), el
switch de enclavamiento se coloca en abierto
(0) para representar la suma, entonces el
circuito hace la operación 11111111 +
11111111 = 111111110, este resultado se
refleja en los leds del circuito que se observa
que todos se prendieron menos el S0,
comprobamos que el resultado esta bien.

Ilustración 22. Sumador-Restador de 2 bits con A1, B1,


B0 en alto y A0 en bajo, en complemento A2.

Descargado por Neiber Zambrano (neiberzambran@gmail.com)


lOMoARcPSD|7936850

representar la suma, entonces el circuito hace


la operación 11110000 + 11110000 =
1111100000, este resultado se refleja en los
leds del circuito que se observa que todos se
prendieron menos el S0,S1,S2,S3,S4
comprobamos que el resultado está bien.

Resta

Ilustración 25. Sumador-Restador de 8 bits con A0 y B0


en bajo (0) y las demás entradas en alto (1).

Los 2 dipswitch que representan los dos


números “A” y “B” se colocan en alto (1),
excepto las entradas A0 y B0,estas se colocan
en bajo (0), el switch de enclavamiento se
coloca en abierto (0) para representar la suma,
entonces el circuito hace la operación
11111110 + 11111110 = 111111100, este
resultado se refleja en los leds del circuito que Ilustración 27. Sumador-Restador de 8 bits todas las
se observa que todos se prendieron menos el entradas en alto (1).
S0 y S1, comprobamos que el resultado esta
bien. Los 2 dipswitch que representan los dos
números “A” y “B” se colocan en alto (1), el
switch de enclavamiento se coloca en cerrado
(1) para representar la resta, entonces el
circuito hace la operación 11111111 +
11111111 = 000000000, este resultado se
refleja en los leds del circuito que se observa
que todos están apagados, comprobamos que
el resultado está bien.

Ilustración 26. Sumador-Restador de 8 bits con A0, A1,


A2, A3 y B0, B1, B2, B3 en bajo (0) y las demás
entradas en alto (1).

Los 2 dipswitch que representan los dos


números “A” y “B” se colocan en alto (1),
excepto las entradas A0, A1, A2, A3 y B0, B1,
B2, B3, estas se colocan en bajo (0), el switch
de enclavamiento se coloca en abierto (0) para

Descargado por Neiber Zambrano (neiberzambran@gmail.com)


lOMoARcPSD|7936850

bajo (0), el switch de enclavamiento se coloca


en cerrado (1) para representar la resta,
entonces el circuito hace la operación
11111111 + 11111100 = 000000011, este
resultado se refleja en los leds del circuito que
se observa que todos están apagados, excepto
las salidas S0 y S1, que están en alto (1),
además este resultado es sin complemento A2
gracias a las compuertas Not y And conectadas
entre C0 y C4 y el switch de enclavamiento,
comprobamos que el resultado está bien.

Ilustración 28. Sumador-Restador de 8 bits con B0 en


bajo (0) y las demás entradas en alto (1).

Los 2 dipswitch que representan los dos


números “A” y “B” se colocan en alto (1),
excepto la entrada B0, esta se coloca en bajo
(0), el switch de enclavamiento se coloca en
cerrado (1) para representar la resta, entonces
el circuito hace la operación 11111111 +
11111110 = 000000001, este resultado se
refleja en los leds del circuito que se observa
que todos están apagados, excepto S0, este esta
encendido, además este resultado es sin
complemento A2 gracias a las compuertas Not
y And conectadas entre C0 y C4 y el switch de Ilustración 30. Sumador-Restador de 8 bits con A7, A6,
A5, A4 y B7, B6, B5 en alto (1) y las demás entradas en
enclavamiento, comprobamos que el resultado bajo (0).
está bien.
Los 2 dipswitch que representan los dos
números “A” y “B” se colocan en alto (1),
excepto las entradas A0, A1, A2, A3 y B0, B1,
B2, B3, B4, estas se colocan en bajo (0), el
switch de enclavamiento se coloca en cerrado
(1) para representar la resta, entonces el
circuito hace la operación 11110000 +
11100000 = 000010000, este resultado se
refleja en los leds del circuito que se observa
que todos están apagados, excepto S4, este esta
encendido, además este resultado es sin
complemento A2 gracias a las compuertas Not
y And conectadas entre C0 y C4 y el switch de
enclavamiento, comprobamos que el resultado
Ilustración 29. Sumador-Restador de 8 bits con B0 y B1 está bien.
en bajo (0) y las demás entradas en alto (1).

Los 2 dipswitch que representan los dos


números “A” y “B” se colocan en alto (1),
excepto las entradas B0 y B1, esta se coloca en

Descargado por Neiber Zambrano (neiberzambran@gmail.com)


lOMoARcPSD|7936850

Análisis de los resultados Anexos


Al realizar el diseño existieron ciertos
problemas a la hora de realizar la resta, ya que
la simulación presentada estaba errónea, la
cual se tomó tiempo para encontrar el
problema, encontrando al final que los
circuitos integrados eran los que tenían la falla,
y así no entregaban los resultados adecuados.
Para la conexión entre ciertos componentes es
de vital importancia conocer el
funcionamiento de cada uno, para hacer las
relaciones adecuadas entre estos, durante la Datasheet 1. Circuito integrado 74LS04 - Compuerta
séxtuple NOT.
práctica para la conexión entre sumador y
restador era necesario conocer el
funcionamiento de cada uno para separar cada
una de las operaciones de la manera adecuada,
en este caso se hizo uso de elementos externos
a los componentes para diferenciar las
operaciones como se deseaba.

Conclusiones
La evidencia que se mostró anteriormente
demuestra que el diseño de un sumador-
restador, y su implementación da lugar a los Datasheet 2. Circuito integrado 74LS08 - Compuerta
circuitos combinacionales y compuertas cuádruple AND a 2 entradas.
lógicas para poder resolver los problemas en
binario de bits a bits.
A pesar de resolver un problema simple de
aritmética, son un elemento muy útil y base
para programar circuitos de memorias y
procesadores numéricos de datos, al igual que
permiten determinar registros y análisis de
procesos repetitivos.
Esto impulsa a valerse de las herramientas que
se proporcionan el conocimiento teórico y
lógico de la electrónica digital.

Datasheet 3. Circuito integrado 74LS32 - Compuerta


cuádruple OR a 2 entradas.

Descargado por Neiber Zambrano (neiberzambran@gmail.com)


lOMoARcPSD|7936850

Datasheet 4. Circuito integrado 74LS86 - Compuerta


cuádruple XOR a 2 entradas.

Datasheet 5. Circuito integrado 74LS83 - Sumador


completo a 4 bits.

Bibliografía
[1] Morris, M. (2003). Diseño digital. México:
PEARSON EDUCACIÓN.

[2] Floyd, T. (2006). Fundamentos de sistemas


digitales. Madrid: PEARSON EDUCACIÓN.

[3] Tocci, R. (2007). Sistemas digitales, principios


y aplicaciones. México: PEARSON
EDUCACIÓN.

Descargado por Neiber Zambrano (neiberzambran@gmail.com)

También podría gustarte