Está en la página 1de 10

PROYECTO FINAL.

Neiber Duban Zambrano M , Jhon Henry Ríos


1

Universidad Autónoma de Manizales UAM


Facultad de Ingeniería.
Análisis de señales.
2021 - 1

IV. PROCEDIMIENTOS.
Los pasos que se han seguido para el desarrollo de este
RESUMEN. proyecto son:
A continuación, se presentan los resultados de los “mini - Definir los elementos necesarios para que la cerradura
proyectos” que se han trabajado como propuesta de proyecto funcione:
final, entre estos “mini proyectos”, se buscó la profundización  Memorias.
y comprensión de temas como: Maquinas de Moore, Maquinas  Comparadores.
de Mealy, además el uso de memorias.  Teclados.
Con los trabajos realizados también se realizó la introducción
 Visualizadores.
a las maquinas simples o autómatas.
 Actuadores.
- Crear un diagrama conceptual del sistema, para definir si las
funciones dentro del sistema son: Entradas, procesos o salidas.
1. Ejercicio # 1
Cerradura con Contraseña. Diagrama de bloques del sistema de cerradura

I. ABRIR UNA PUERTA CON UNA CONTRASEÑA.


Para este proyecto se ha planteado el desarrollo de un circuito
que permita bloquear una puerta, tapa o cosas por el estilo.
La cerradura planteada deberá cumplir un par de
características para que sea lo más funcional e implementable
posible.
1) Contraseña de 4 bits.
2) Visualizador de contraseña correcta e incorrecta.
3) Botón de Enable para enviar la contraseña.
4) Teclado o método de ingreso de la contraseña.

II. MARCO TEORICO.


Una cerradura con una contraseña, o clave electrónica, es un
tipo de cerrojo “inteligente” que elimina la necesidad de
cargar una llave física para poder abrir o acceder a lo que esta
esté protegiendo; algunos ejemplos de lugares en los que se
pueden encontrar este tipo de cerrojos son: las puertas de Las entradas se definieron usando switches DIPSWC y como
acceso a áreas restringidas, las puertas de las habitaciones de memoria se usó uno de estos mismos switches, además para
los hoteles, las puertas de los edificios para permitir el acceso poder observar los valores que se ingresan tanto en la memoria
a las personas autorizadas, etc. como en el “teclado de acceso”, se instalaron un par de
https://es.wikipedia.org/wiki/Cerradura_electr%C3%B3nica decodificadores 7447 y el valor decodificado se observa en un
par de display de siete segmentos.
III. OBJETIVOS.
Aplicar los conocimientos adquiridos durante las clases del
curso de sistemas digitales, en este proyecto se busca aplicar
conceptos memorias, comparadores y circuitos digitales.
- Objetivos Específicos. Diseñar, y simular, una cerradura
electrónica que funcione mediante contraseña.


Para corroborar que siempre hubiese una señal en las salidas A
y B, se ubicaron resistencias de tipo Pull Dow, de esta forma
nos aseguramos que el estado de los switches que no estén
activos sea cero o bajo.
(Estas son las situaciones que se definieron en clase,
2. Ejercicio #2, en base a las acciones que realizara el sistema si se
Medidor de signos vitales. presenta alguna de ellas).

I. PROYECTO 2. MAQUINA DE SIGNOS VITALES


(MSV).
En este proyecto se planteó el diseño de un medidor de signos
vitales, con un par de funciones básicas:
A. 1). Encender una alarma en caso de que la presión
caiga por debajo de 40 pulsaciones por minuto y llamar al
médico en turno.
B. 2). Mantener una luz verde en caso de que todo esté
bien.

V. MARCO TEORICO. d. Definir el diagrama de transición de estados.


Un monitor de signos vitales es un dispositivo que permite
detectar, procesar y desplegar en forma continua los
parámetros fisiológicos del paciente. Consta además de un
sistema de alarmas que alertan cuando existe alguna situación
adversa o fuera de los límites deseados.

VI. OBJETIVOS.
Aplicar los temas y conocimientos desarrollados durante el
curso de la asignatura Sistemas Digitales.

El objetivo específico del proyecto es: Crear una alarma que


depende de la frecuencia cardiaca de la persona conectada al
sistema.

VII. PROCEDIMIENTOS.
Los pasos de diseño que se llevaron a cabo para conseguir el
Usando el diagrama de transición de estados definimos la tabla
objetivo de este proyecto, son los siguientes:
de excitación de estados, además definimos nuestro alfabeto
a. Definir las características de diseño.
de salida.
- La alarma se activa si y solo si la frecuencia
Estad Entrada Acción
cardiaca de la persona decae por debajo de 40
o
pulsaciones por minuto, esto es una decisión
q0 111 Todo bien
arbitraria que no contempla la realidad.
- Si la frecuencia cardiaca se mantiene por encima q1 000 Alarma
de las 40 pulsaciones el sistema entra en modo q2 001 W1
de espera, si las pulsaciones decaen por debajo q3 010 W2
de 40 activa la alarma, pero, si las pulsaciones q4 011 W3
vuelven a la normalidad no hace nada.
- Si la frecuencia cae por debajo de 60 pulsaciones e. Definir tabla de excitación de estados.
por un tiempo prolongado, entonces activamos la
alarma. M M M
b. Definimos los estados iniciales. # Q M2 M1 M0 IN Q+ 2 1 0
- q0 = 00; FrC > 60. 0 q0 1 1 1 0 0 q0 1 1 1
- q1 = 01; 40> FrC <60.
1 q0 1 1 1 0 1 q2 0 0 1
- q2 = 11; FrC <40.
c. Definimos posibles situaciones que se puedan 2 q0 1 1 1 1 1 q1 0 0 0
generar. 3 q2 0 0 1 0 0 q0 1 1 1
En la siguiente imagen se presentan algunas de las 4 q2 0 0 1 0 1 q3 0 1 0
posibles señales, o casos, que puedan aparecer 5 q2 0 0 1 1 1 q1 0 0 0
durante el control del ritmo cardiaco de un paciente.
6 q3 0 1 0 0 0 q0 1 1 1
7 q3 0 1 0 0 1 q4 0 1 1
8 q3 0 1 0 1 1 q1 0 0 0
9 q4 0 1 1 0 0 q0 1 1 1
10 q4 0 1 1 0 1 q1 0 0 0
11 q4 0 1 1 1 1 q1 0 0 0
 Para M2 = M0 ¿´2
f. Realizamos los Mapas de Karnaugh para las
funciones de estado siguiente Q+, para M2, M1, M0.
 M2=0 IN1 IN2
Como tenemos un sistema de 5 variables, realizamos
  00 01 11 11
la simplificación usando como variables de entrada
M2, M1, M0, y la entrada IN la dividimos como IN1 00 X X X X
y IN2. Además de que como 2^5 = 32, y solo M0M1 01 0 0 0 X
tenemos 12 de los 32 datos, entonces usamos los 11 1 0 0 X
datos faltantes como doesn't important usando una 10 1 0 0 X
equis (X).
 M2=1 IN1 IN2
M2 M1 M0 IN1 IN2 M2 M1 M0   00 01 11 11
0 0 0 0 0 mo X X X 00 X X X X
0 0 0 0 1 m1 X X X M0M1 01 X X X X
0 0 0 1 0 m2 X X X 11 1 0 0 X
0 0 0 1 1 m3 X X X 10 X X X X
0 0 1 0 0 m4 0 0 0
0 0 1 0 1 m5 0 1 0  Para M1= ´
M´ 0 ¿1∈2+ M´ 1∈1+ M 0 ¿´2
0 0 1 1 0 m6 X X X
 M2=0 IN1 IN2
0 0 1 1 1 m7 0 0 0   00 01 11 11
0 1 0 0 0 m8 1 1 1 00 X X X X
0 1 0 0 1 m9 0 1 1 M0M1 01 0 1 0 X
0 1 0 1 0 m10 X X X 11 1 0 0 X
0 1 0 1 1 m11 0 0 0 10 1 1 0 X
0 1 1 0 0 m12 1 1 1
0 1 1 0 1 m13 0 0 0  M2=1 IN1 IN2
0 1 1 1 0 m14 X X X   00 01 11 11
00 X X X X
0 1 1 1 1 m15 0 0 0
M0M1 01 X X X X
1 0 0 0 0 m16 X X X
11 1 0 0 X
1 0 0 0 1 m17 X X X
10 X X X X
1 0 0 1 0 m18 X X X
1 0 0 1 1 m19 X X X  Para M0 = M´ 1 ¿´1+ M 2 ¿´1+ M 0 ¿´2
1 0 1 0 0 m20 X X X
1 0 1 0 1 m21 X X X  M2=0 IN1 IN2
1 0 1 1 0 m22 X X X   00 01 11 11
00 X X X X
1 0 1 1 1 m23 X X X
M0M1 01 0 0 0 X
1 1 0 0 0 m24 X X X
11 1 0 0 X
1 1 0 0 1 m25 X X X
10 1 1 0 X
1 1 0 1 0 m26 X X X
1 1 0 1 1 m27 X X X  M2=1 IN1 IN2
1 1 1 0 0 m28 1 1 1 M0M1   00 01 11 11
1 1 1 0 1 m29 0 0 1 00 X X X X
1 1 1 1 0 m30 X X X 01 X X X X
1 1 1 1 1 m31 0 0 0 11 1 1 0 X
10 X X X X Q+ = Estado siguiente.

g. Realizamos la implementación del circuito.


I Q W Q+
N
0
0

0
1

1
1

h. Salidas visuales.
Una de las condiciones de diseño, es una salida visual o
sonora, para ello se ha diseñado una salida con dos leds:

Led Amarillo: Esta salida permanecerá activa siempre y


cuando se cumpla las condiciones de q0, q2, q3, q4.
¿Cómo funciona?
Como podemos observar, en la anterior imagen, en el circuito Led Rojo: Este led se encenderá siempre y cuando se cumpla
podemos manipular 3 factores en la entrada: la condición de q1, el cual debería activar tanto la señal visual
- IN1. y llamar al Médico en turno de vigilancia.
- IN2.
- CLCK.

Las entradas IN1 e IN2 son nuestra entrada, valga la


redundancia, como ya lo habíamos mencionado estas entradas
las usamos de esta forma porque la entrada es de dos Bits, y
con una sola variable nos quedábamos cortos. Entonces
usando estas entradas podemos ingresar los estados iniciales,
que ya los habíamos definido con anterioridad, estos son tres:
00, 01, 11, deberían ser cuatro, pero el estado 10 es invalido,
pues este estado activa las alarmas mientras las pulsaciones
por minuto son mayores a 60.

El CLCK, funciona como un enable (en español, habilitador)


conectado en el pin de reloj de los flip flop de tipo D; esto lo
hacemos así para tener control sobre las salidas y poder ver los
cambios de forma pausada, lo que no podemos hacer si en este
pin usamos una señal de reloj con flancos de subida y bajada Estado inicial, todo bien, circuito a corregir
cambiando constantemente.

Por comodidad hemos acoplado junto a las entradas un par de


pines denominados Logicprobe, enlazados a las salidas
mediante pines de conexión (Terminales) que están
disponibles en Proteus, también se pueden encontrar en otras
aplicaciones de software como Eagle, que enlazan y
transmiten la señal de forma inalámbrica entre puntos de un
circuito.

Algunas de las salidas que generan las diferentes entradas, son


las siguientes:

Q = Estado actual.
W = Estado Intermedio.
i. Problemas que se presentaron antes, durante y
después del diseño de las diferentes fases del
circuito.

a. Durante la definición de las características del


circuito se presentó el primer inconveniente.
Se suponía que las salidas serian solo dos: la salida de todo
bien (Led amarillo) y la alarma (Led rojo), pero si vemos a
detalle el circuito previo a su activación, podemos observar
que existen estados intermedios antes de que se active
cualquiera de las salidas, para ello debíamos decidir si
acoplábamos un contador externo o si por el contrario
hacíamos un contador interno, la situación era que
dependiendo del camino que decidiéramos tomar podríamos
diseñar un circuito más o menos complejo, que cumplirían con
las mismas funciones, así que la solución fue agregar los
estados q2, q3 y q4, de esta forma tendríamos unos estados
intermedios que harían la función de contador interno,
Estado de espera, Waiting 1 , circuito a corregir
manteniendo el sistema lo más sencillo posible.

b. Tras tener la tabla de transición de estados


definida, el siguiente paso era definir las
funciones de salida.
En este punto se debía elegir el método, con el que nos
sintiéramos más cómodos, y hacer la simplificación de las
funciones de salida. El problema era definir las entradas y los
estados que se desconocían, así que nos decantamos por la
simplificación mediante mapas de Karnaugh, pero durante el
curso habíamos usado tan solo mapas de Karnaugh de hasta 4
variables, los mapas de 5 variables no se habían sino
mencionado, por lo que debimos empezar a buscar cómo
resolver este tipo de “problemas” y tras algunos intentos,
logramos estableces las diferentes variables de entrada y hacer
las correspondientes simplificaciones.

Como problemas de diseño solo presentamos los anteriores


Estado de alarma, circuito a corregir. inconvenientes, en la etapa de montaje y simulaciones
experimentamos problemas muy generales como:
a. Qué tipo de memoria usar. Este problema aparece por
qué se debe hacer una simulación del sistema,
entonces dependiendo de la aplicación de simulación
que buscáramos, aparecían componentes con pines
extra que condicionaban algunas conexiones. por
ejemplo, en el software de EAGLE existen unos
pines ocultos que son los pines de alimentación o de
señal, estos pines No aparecen en todos los
componentes, pero pueden llegar a ser un problema
en las simulaciones, en caso de obviarse.
Finalmente, la solución fue usar el software de
Proteus y buscar una memoria de tipo Flip Flop D y
asegurarnos de que tuviera, y funcionara, con los
pines de Datos, Reloj y las salidas Q y Q+. Esto con
el fin de realizar el menor número de conexiones.
Tras tener todas las conexiones necesarias, el circuito era
completamente funcional, con las condiciones que se habían
definido, solo faltaba definir las salidas visuales.
Para definir estas salidas realizamos un pequeño circuito b. Lo mas importante para diseñar un autómata, es
lógico, usando la experiencia más que los procesos de diseño definir de manera correcta el diagrama de transición
típicos, y definimos que la función de salida A, se activaría de estados y con el podremos definir la tabla de
siempre que la multiplicación de M0, M1 y M2 fuese cero y transición de estados, con estas dos cosas definidas,
para que el led se encienda, con un estado alto, debíamos la implementación de cualquier circuito será
negar esta salida; de esta forma el led amarillo se mantiene sumamente sencillo.
encendido siempre que la multiplicación sea cero.
En caso contrario, si la multiplicación da como resultado Uno,
entonces el led rojo (la alarma) se enciende y se llama al
Médico o Doctor en turno de vigilancia.

VIII. CIRCUITO FINAL.


Tras un par de revisiones extras, se logro corregir algunas
caracteristicas del diseño final, problemas que se presentaban
en las salidas, donde el estado de espera activaba la alarma o
en caso contrario actibaba el estado de todo bien, tras hacer la
correccion, el resultado final es:

En caso de que el estado que se ingrese sea el 10, que es un


estado lógico invalido, el circuito seguirá mostrando la misma
salida, si esta en todo bien, entonces, se queda en todo bien,
pero, si se encuentra en estado de alerta, led rojo, entonces el
seguirá mostrando el estado de alerta.

IX. CONCLUSIONES.
Las conclusiones que sacamos de este segundo trabajo son:
a. En general con esta práctica se concluye que, al
seguir una serie de pasos lógicos, podemos construir
maquinas, desde las más básicas hasta las más
complejas, que pueden trabajar de manera autónoma,
autómatas, que podrán cumplir con una serie de
tareas predefinidas, de una forma eficiente.
-Objetivo específico. Crear un circuito lógico que controle los
3. EJERCICIO # 3 tiempos de cambio de un par de semáforos ubicados en una
SEMAFORO intersección, para que el fujo de vehículos favorezca a uno de
los lados de la intersección.
I. CONTROL DEL FLUJO VEICULAR MEDIANTE
SEMAFOROS.
IV. PROCEDIMIENTOS
Para este proyecto se ha planteado el desarrollo de circuitos
combinacionales que controlan el funcionamiento de un par de Los pasos que se siguieron en el desarrollo de esta práctica
semáforos situados en dos de los carriles de una intersección. son:
Para empezar, el problema plantea la existencia de dos calles 1. LOGICA SECUENCIAL
que intersecan en un punto, en el que se hará el control, estas a. Definir las características de diseño del circuito.
vías las denominamos vía o calle principal y calle secundaria. b. Definir el diagrama de estados del sistema.
Para el desarrollo del diagrama de estados, se debe desarrollar
 La calle principal va a tener una luz verde
un alfabeto que permita definir las acciones que ocurren a
durante un mínimo de 25 seg. o mientras no haya
través de los diferentes estados.
ningún vehículo en la calle perpendicular.
Variable Significado
 Esta calle lateral tiene que tener la luz verde
hasta que no circule ningún coche por ella, o Vs Vehículos en la calle secundaria.
durante un máximo de 25 seg. Tl Tiempo de 25 segundos.
 La luz ámbar de precaución tiene que durar 4 Ts Tiempo de 4 segundos.
seg. V́ No hay vehículos en la calle secundaria.

Además, definimos nuestros estados:


La salida hace referencia al semáforo de la calle principal -
calle secundaria.

Estado Entrada Salida


q0 00 Verde - Rojo
q1 01 Ámbar - Rojo
q2 11 Rojo – Verde
Requisitos de cambio de la secuencia de las luces. q3 10 Rojo - Ámbar

Este circuito se ha planteado para ser diseñado en tres etapas:


1. Lógica secuencial. c. Definir la tabla de excitación de estados.
2. Circuito de tiempos. - Las salidas M, son salidas de estados
3. Lógica combinacional. - Las luces del semáforo las llamamos R1 como la luz roja del
semáforo principal y como R2 la luz roja del semáforo
II. MARCO TEORICO. secundario, de esta forma hemos nombrado las otras luces.
- Tiempo = Tiempo largo (TL), Tiempo corto (TC).
Los semáforos, también conocidos técnicamente como señales
de control de tráfico,1 son dispositivos de señales que se
Input Salidas M Salidas de las luces T
sitúan en intersecciones viales y otros lugares para regular el
I I M M M M R A V R A V L C
tráfico, y, por ende, el tránsito peatonal.
1 0 3 2 1 0 1 1 1 2 2 2
Los semáforos han ido evolucionando con el paso del tiempo
0 0 1 0 0 0 0 0 1 1 0 0 1 0
y actualmente y debido a su rentabilidad, se están utilizando
0 1 0 1 0 0 0 1 0 1 0 0 0 1
lámparas LED para la señalización luminosa, puesto que las
lámparas de LED utilizan solo 10 % de la energía consumida 1 1 0 0 1 0 1 0 0 0 0 1 1 0
por las lámparas incandescentes, tienen una vida estimada 50 1 0 0 0 0 1 1 0 0 0 1 0 0 1
veces superior, y por tanto generan importantes ahorros de
energía y de mantenimiento, satisfaciendo el objetivo de d. Simplificación de las funciones.
conseguir una mayor fiabilidad y seguridad pública. Debido a la cantidad de variables que tenemos, la tabla de
verdad completa quedaría muy extensa, y su simplificación
sería igual de extensa, por ello tomaremos estos resultados
III. OBJETIVOS. directamente del libro.
Función de las salidas de estados en función de las entradas.
El objetivo de este proyecto es aplicar los conceptos vistos Estados Salidas
durante la clase de sistemas digitales, conceptos tales como: M3
Memorias, circuitos lógicos, contadores, multiplexores o I´1 I´0
algunos conceptos similares. M2 I´1I0
M1 I1 I0
M0 I1 I´0
Funciones simplificadas para las luces:
Semáforo 1 Semáforo 2
R1 = M1 + M0 R2 = M3 + M2
A1 = M2 A2 = M0
V1 = M3 M1
Funciones simplificadas para los tiempos:
Tiempo Función
T. Largo (25seg) M3 + M1
T. Corto (4 seg) M2 + M0

e. Circuito de la lógica secuencial

El circuito está incompleto, faltan los correspondientes tags


de los nombres en las salidas y entradas; pero más adelante
se irán incorporando los detalles correspondientes.

2. Control de los tiempos.


SIMULACION DE LOS CIRCUITOS PARA EL CONTROL
En esta fase se desarrolla los respectivos circuitos para el
DE TIEMPO.
control de los tiempos en los temporizadores, TL y TC, para
Generador de la señal de control de 10 KHz
ello se plena usar circuitos prediseñados 74121 monoestable
para los temporizadores, y para crear la señal de 10 KHz
necesaria para el control de los circuitos, como señal de reloj,
se usará un integrado 555 como se muestra a continuación.

Nota: los valores de las resistencias y capacitores necesarios


aparecerán en las imágenes de la simulación.

Usamos un 555 para enviar la señal de reloj para que los


componentes que la necesiten, trabajen de forma síncrona.

En la siguiente imagen, que se encuentra en un formato SVG


para que se le pueda hacer zoom sin perder calidad, se muestra
el circuito que se lleva de momento, donde en la esquina
superior derecha de la imagen esta el circuito con la lógica
combinacional, en la parte inferior, tenemos los circuitos
74121 que se utilizan como contadores, para realizar los
timers.

En este circuito hace falta posicionar los elementos necesarios


para extraer los valores de los 4 segundos y de los 25
segundos.

También podría gustarte