Está en la página 1de 51

II / 2019

SISTEMAS DIGITALES I
Guía de Laboratorio

Laboratorio de
Sistemas Digitales I
ETN - 601

Laboratorio de Sistemas Digitales I

 2019
UNIVERSIDAD MAYOR DE SAN ANDRES
FACULTAD DE INGENIERÍA
INGENIERÍA ELECTRÓNICA

Página 1 de i
Tabla de contenido

LABORATORI O 1

INTRODUCCIÓN
1 A LOS CIRCUITOS

DIGITALES

LABORATORI O 2

SIMPLIFICACIÓN
1 DE FUNCIONES

LÓGICAS

LABORATORI O 3

MÓDULOS
28 COMBINACIONALES
1

LABORATORI O 4

CIRCUITOS
1 ARITMÉTICOS

LABORATORI O 5

INTRODUCCIÓN
1 A LOS CIRCUITOS

SECUENCIALES

LABORATORI O 6

APLICACIÓN
1 DE SISTEMAS

SECUENCIALES

LABORATORI O 7

CONTADORES
1

LABORATORI O 8

REGISTROS
1 Y MEMORIAS

LABORATORI O 9 DISPOSITIVOS LÓGICOS

PROGRAMABLES
1 Y CONVERSORES A/D Y

D/A

LABORATORI O 10

PROYECTO FINAL - APLICACIONES

Página 2 de i
1
Laboratorio

INTRODUCCIÓN A LOS
CIRCUITOS DIGITALES
1. LISTA DE MATERIALES

 Circuitos integrados TTL como ser: 7400, 7402, 7404, 7405, 7408,
7410, 7411, 7432, 7486, 74125, 74125 etc.
 Circuitos integrados CMOS como ser: 4011, etc.
 Dip Switch de 6 canales mínimo.
 Lote de resistencias de Protección de 10[KΩ]. y de 330[Ω]. (varias
unidades).
 Led`s de diversos colores.
 Potenciómetro de 1[KΩ].
 Diversos tamaños de cables de conexión.
 Proto board de 2 cuerpos mínimo.
 Capacitores electrolíticos de 10[µF]; 100[µF]; todos para 15[V] o más.

2. LISTA DE INSTRUMENTOS A UTILIZAR

 Fuente de alimentación fija 5V o variable DC.


 Multímetros.
 Osciloscopio.
 Generador de Funciones.

Página 1 de Laboratorio I
Consideraciones previas al laboratorio.

Armado en Protoboard

Para las prácticas del laboratorio de sistemas digitales, el uso correcto del
protoboard es importante para obtener un armado que permita un seguimiento de
los pines de entrada y salida que representan variables y funciones
respectivamente para una rápida y/o ordenada verificación del trabajo que se está
realizando.

El esquema mostrado a continuación es un ejemplo el que deberá seguirse a lo


largo de este y los siguientes laboratorios.

Un armado practico de un circuito simple:

Página 2 de Laboratorio I
Circuito conmutador interruptor (dip switch)

El dip switch es un conmutador que actúa como una simple llave (abierto -
cerrado) el siguiente esquema nos muestra la utilización del mismo con un circuito
simple:

Para introducir los niveles lógicos de las variables de entrada utilice el siguiente
esquema:

330-1K Ohms

ON OFF 0
0
0
1
0 SISTEMA
0
0
Vcc 1
DIGITAL

3. OBJETIVOS DE LA PRÁCTICA A REALIZAR

OBJETIVO GENERAL

Página 3 de Laboratorio I
Introducir al estudiante el manejo básico de los CIs que componen las
compuertas digitales.
OBJETIVOS ESPECÍFICOS
Tenemos los siguientes por cada experimento:
a) Dar a conocer y experimentar con otro tipo de dispositivos
integrados que, además de realizar algunas de las funciones lógicas,
presentan una serie de características eléctricas y de funcionamiento
necesarias en determinados casos y aplicaciones.
b) Conocer las características de las puertas lógicas referentes a la
propagación de señales.
c) Realizando medidas prácticas conocer las tensiones características
de entrada y de salida en las puertas lógicas TTL y CMOS. A demás
de los niveles máximo y mínimo de cada valor lógico.
d) Experimentar prácticamente cuanta carga se le puede conectar a la
salida de una compuerta lógica, como también cuanto es lo mínimo
que requiere a la entrada.
e) Comprobar que, mediante una correcta aplicación de los teoremas
de Morgan, se puede resolver cualquiera ecuación lógica, simplificar
a su mínima expresión.
f) Verificar experimentalmente el funcionamiento de una función lógica
armado con circuitos lógicos.
g) Aprender a obtener la función lógica a partir de un circuito dado.
h) Aplicar los conocimientos básicos de sistemas combinacionales para
la solución de un sistema planteado como problema a ser resuelto.
i) Verificar el funcionamiento de las compuertas tres estados que
pueden ser usados en cualquier función lógica.
j) Verificar que funcionamiento de las compuertas a colector abierto a
ser aplicadas en las funciones lógicas.
k) Aplicar los conocimientos adquiridos por el estudiante durante la
experimentación y plasmarlos en la proposición de un ejemplo a
desarrollar en laboratorio.

4. REALIZACIÓN DEL PRE - INFORME.

Se debe realizar el programa en VHDL para los puntos de la guía que


contengan circuitos sintetizables utilizando uno de los tres métodos de:
 Funcional Algorítmico o de Comportamiento.
 Flujo de Datos ó RTL.

Página 4 de Laboratorio I
 Estructural o Lógico.
y simularlo en ISE de XILINX, Quartus II de ALTERA y VERILOG.
a) FUNCIONAMIENTO Y CARACTERÍSTICAS DE COMPUERTAS
TÓTEM-POLE, BUFFER, OPEN COLECTOR, ADAPTADORES DE
NIVEL, SCHMITT TRIGGER, TRI-STATE (3 ESTADOS).

Explique detalladamente el funcionamiento la estructura y construcción de


ciertos dispositivos que consiguen algunas funciones lógicas con algunas
características y particularidades un tanto especiales, que se hacen
imprescindibles en múltiples ocasiones. Dibuje los circuitos y explique el
funcionamiento para algunas compuertas de estas familias:

- Tótem-pole
- Buffer
- Open colector
- Adaptadores de nivel
- Schmitt trigger
- Tri-state (3 estados)

b) TIEMPO DE PROPAGACIÓN DE LAS COMPUERTAS LÓGICAS.

Arme el circuito de la figura 1 y verifique el tiempo de propagación de la


señal lógica para los siguientes operadores lógicos: NOT, NOT (Schmitt
trigger), OREX y NAND. Se deberá armar para C.I. de alta y baja velocidad
(ejemplo: 74LSxx y 74HCxx).

Figura 1

c) NIVELES LÓGICOS DE LAS FAMILIAS TTL, CMOS.

Implemente el circuito mostrado en la figura:

Página 5 de Laboratorio I
Figura 2
Utilice compuertas TTL y CMOS, obtenga los niveles lógicos y compárelos
con los descritos en las hojas técnicas. Haga una explicación teórica de los
niveles lógicos de estas dos familias.

d) FAN-IN FAN-OUT.

Investigue las fórmulas básicas para determinar los parámetros de Fan-in y


Fan-out y posteriormente implemente un circuito para determinar los
parámetros de Fan-in/Fan-out (capacidad de excitación y de carga
respectivamente) de las familias TTL y CMOS.

e) LEYES DEL ÁLGEBRA DE BOOLE.

Determine la función de salida en forma algebraica y empleando los


teoremas del algebra de Boole simplifique a su mínima expresión.

Figura 3

Página 6 de Laboratorio I
f) IMPLEMENTACIÓN DE FUNCIONES LÓGICAS

Implemente las siguientes funciones Booleanas verificando sus respectivas


tablas de verdad.

1. ̅ ̅ ̅ ̅ ̅
2. F 2  ( AB  ABC  B  B D)  AD
3. ̅ ̅ ̅ ̅ ̅ ̅ ̅ ̅ ̅

(Utilice solo compuertas de 2 entradas)

g) ESQUEMAS (LAY - OUT)

Para el siguiente esquema obtenga:


 La función que representa.
 La función minimizada mediante algebra de Boole.
 Su tabla de verdad.
Nota: A, B, C, D, representan las variables de entrada, estas se encuentran
en los pines 1, 3, 5 y 13 respectivamente del CI 7404, además tomar en cuenta
que F es la variable de salida, situada en el pin 8 del CI 7410.

Figura 4

Página 7 de Laboratorio I
h) PROBLEMAS DE APLICACIÓN LÓGICA.
Se desea controlar tres turbinas X, Y y Z por medio de los contactos de tres
interruptores S1, S2 y S3, de forma que se cumplan las siguientes condiciones
 Si S1 está activado y los otros dos no, se encenderán X y Y.
 Si S2 está activado, se enciende Y (sin importar el estado de S1 y
S3).
 Si S3 y S2 están activados se encenderá la tercera turbina, si S2 y S3
no están cerrados se encenderá la segunda.
 Si los tres interruptores están activados se encenderán las tres
turbinas.
Escriba las funciones lógicas que representan mediante los mintérminos que implican,
simplifique utilizando leyes de Boole, compare la tabla de verdad de la función
simplificada con la original y finalmente dibuje el circuito simplificado.

i) CIRCUITOS CON COMPUERTAS 3-STATE.

Implemente las funciones a) y c) del inciso f) con operadores de 3 estados,


utilice las resistencias adecuadas para simular el funcionamiento de compuertas
OR, AND, NAND, NOR, etc.

j) CIRCUITOS CON COMPUERTAS OPEN-COLECTOR.

Para las siguientes funciones, implemente con operadores Open-colector.


Calcule los valores de las resistencias correspondientes. Indicar el código de los
CI’s que utilizara, se le sugiere que lo implemente con puras compuertas NAND
utilizando el CI 7403, además de aplicar, si es posible, el concepto de
compuerta “wired”.

̅ ̅ ̅ ̅ ̅ ̅ ̅ ̅ ̅
̅ ̅ ̅ ̅ ̅ ̅ ̅ ̅

k) APORTE DEL ALUMNO

En base a los puntos del laboratorio, prepare una función e implemente en el


laboratorio, obteniendo la tabla de verdad, explique claramente su
funcionamiento.

SIMULACIÓN
Para todos los puntos anteriores, utilice un programa de simulación (Circuit Maker,
Multisim, ó Proteus.) e implemente con dicha herramienta el Laboratorio. Todos los
se debe realizar el programa en VHDL y/o captura esquemática donde
corresponda y simularlo en Quartus II de ALTERA e ISE de XILINX y
VERILOG

Página 8 de Laboratorio I
5. REALIZACIÓN DE LABORATORIO.
Para la presentación de laboratorio el estudiante necesariamente debe disponer de
todos los puntos armados en protoboard antes del inicio de sesión de la práctica.

a) FUNCIONAMIENTO Y CARACTERÍSTICAS DE COMPUERTAS


TÓTEM-POLE, BUFFER, OPEN COLECTOR, ADAPTADORES DE
NIVEL, SCHMITT TRIGGER, TRI-STATE (3 ESTADOS).

Implemente un circuito donde aplique todas estas compuertas especiales, el


circuito puede ser cualquier función lógica.

b) TIEMPO DE PROPAGACIÓN DE LAS COMPUERTAS LÓGICAS.

Arme el circuito de la figura 1 inicialmente con operadores NOT, con la ayuda


de un generador de funciones y un osciloscopio, introduzca una señal cuadrada
a una frecuencia elevada por encima de los 500 KHz o mayor, con la finalidad
de ver la diferencia de la onda de salida con respecto a la de entrada,
determine la diferencia del retardo y divídalo por el número de compuertas que
está usando; dicho procedimiento debe realizarlo para ambas series TTL 74Sxx
y 74SHxx y compare con la hoja de datos de cada circuito integrado.

Nota: cuantas más compuertas emplee mejor será la visión del retardo.

Repita el proceso para el resto de las compuertas.

c) NIVELES LÓGICOS DE LAS FAMILIAS TTL, CMOS.

Arme el circuito de la figura 2 y mueva el potenciómetro de un extremo a otro,


del mismo se deben adquirir los datos suficientes como para determinar los
valores de tensión de niveles lógicos, y luego llene la siguiente tabla.

Familia VCC ENTRADA SALIDA

Nivel “1”(Mín.)= Nivel “1”(Mín.)=


TTL 5 V.
Nivel “0”(Máx.)= Nivel “0”(Máx.)=

Nivel “1”(Mín.)= Nivel “1”(Mín.)=


CMOS 10 V.
Nivel “0”(Máx.)= Nivel “0”(Máx.)=

d) FAN-IN FAN-OUT.

Página 9 de Laboratorio I
Utilice la formula investigada para determinar la excitación de carga y luego
compárelo con el circuito armado en forma práctica. Para el experimento utilice
un multímetro, para monitorear el valor de tensión para el cuál disminuye el
valor del nivel alto por debajo de lo aceptable.

e) LEYES DEL ÁLGEBRA DE BOOLE.

Arme el circuito de la figura 3 determine la función de salida, posteriormente


con ayuda de las leyes del algebra de Boole simplifique a su mínima expresión,
para posteriormente armarlo en otro circuito mas simple y luego compárelo con
el circuito original.

f) IMPLEMENTACIÓN DE FUNCIONES LÓGICAS

Arme el circuito de las funciones presentadas, elabore su tabla de verdad y


luego proceda a verificarlos uno por uno cada combinación.

g) ESQUEMAS (LAY - OUT)

Arme el circuito que se presentan en la figura 4 determine su función y su tabla


de verdad y con la misma compruebe uno por uno cada combinación.

h) PROBLEMAS DE APLICACIÓN LÓGICA.

En la figura 5 se muestra un problema de aplicación, interprete dicho


enunciado, elabore su tabla funcional, escriba los minterminos implicados y
proceda a simplificar usando las leyes de Boole, arme dicho circuito y
compruebe con la tabla elaborada en su preinforme.

i) CIRCUITOS CON COMPUERTAS 3-STATE.

Arme los circuitos del punto 6 usando compuertas tri-state, en caso de no


encontrar compuertas tres estados use los bufers tres estados y simule las
compuertas empleando resistencias a la salida, luego proceda a comprobar la
tabla realizada en dicho punto.

j) CIRCUITOS CON COMPUERTAS OPEN-COLECTOR.

Arme el circuito de las funciones presentadas, en caso de no encontrar


compuertas open colector, escriba la función en base a puras compuertas
NAND que si existe en el mercado, luego proceda a probar su tabla funcional.

k) APORTE DE ALUMNO

Arme el circuito propuesto en el pre-informe y demuestre su funcionamiento.

Página 10 de Laboratorio I
6. INFORME FINAL.

Presentar los resultados obtenidos en laboratorio, con los respectivos análisis de


los datos experimentales obtenidos, interpretando cada uno de los
comportamientos físicos obtenidos, para cada una de las prácticas elaboradas
realizar las respectivas observaciones con respecto a lo aprendido y emitir
conclusiones de las mismas. Tome en cuenta los objetivos de la práctica pues son
muy importantes para la elaboración final del informe.

 Emita Las conclusiones para cada punto de Laboratorio en forma


independiente.

 Realice una comparación entre la hoja simulada y el punto desarrollado en


laboratorio.

 En base a la comparación emita una conclusión completa y enúncielo en si


informe.

Este informe será el vivo reflejo de lo que el alumno haya ejecutado como trabajo
en laboratorio.

Página 11 de Laboratorio I
2
Laboratorio

SIMPLIFICACIÓN DE
FUNCIONES LÓGICAS
1. LISTA DE MATERIALES

 Circuitos integrados TTL como ser: 7400, 7402, 7404, 7408, 7410, 7411, 7432,
7486, 74125, 74125 etc.
 Dip Switch de 6 canales mínimo.
 Lote de resistencias de Protección de 10[K]. y de 330[]. (varias unidades).
 Led`s de diversos colores.
 Diversos tamaños de cables de conexión.
 Proto board de 2 cuerpos mínimo.

2. LISTA DE INSTRUMENTOS A UTILIZAR

 Fuente de alimentación fija 5v o variable DC.


 Multímetro

3. OBJETIVOS DE LA PRÁCTICA A REALIZAR

OBJETIVO GENERAL
Verificar y aplicar los diferentes métodos de síntesis combinaciónales para
implementar circuitos lógicos.

Página 1 de Laboratorio II
OBJETIVOS ESPECIFICOS
Tenemos los siguientes por cada experimento:
a) Aprender a simplificar empleando el método de mapas de Karnaugh,
aplicando a las dos formas canónicas e implementarlos en forma circuital.
b) Aprender a simplificar empleando el método de OREx/NOREx, aplicando a
las dos formas canónicas e implementarlos en forma circuital.
c) Aprender a simplificar empleando el método de Quine McCluskey, aplicando
a las dos formas canónicas e implementarlos en forma circuital viendo la
eficacia de la misma para multifunciones.
d) Aprender a simplificar empleando el método de Variables Biformes,
aplicando a las dos formas canónicas e implementarlos en forma circuital.
e) Adquirir la destreza en la simplificación empleando el método de mapas de
Karnaugh para la utilización en problemas de aplicación lógica.
f) Aplicar la metodología de simplificación por el método de orex/norex para la
utilización en problemas de aplicación lógica.
g) Emplear la simplificación por el método de Quine McCluskey para la
utilización en problemas de aplicación lógica y ver la versatilidad en
multifunciones.
h) Emplear la simplificación por el método de Variables Biformes para la
utilización en problemas de aplicación lógica.
i) Aplicar los conocimientos adquiridos por el estudiante durante la
experimentación del presente laboratorio y plasmarlos en la proposición de
un ejemplo y desarrollarlo en laboratorio.

4. REALIZACIÓN DEL PRE - INFORME.

a) METODO MAPAS DE KARNAUGH

Mediante el método de mapas de Karnaugh, simplifique dibuje y arme los circuitos


de las siguientes funciones con operadores NAND en la PFC y NOR en la SFC para
cada inciso.

a) F   (0,1,3,4,6,8,9,10,11,12,13,14,15,16,17,18,20,22,24,26,28,31)
3 5

 ABC D  ABC D  ABC D  ABC D  BC D


b) F 1

c) F   (0, 1, 3, 5, 6, 8, 9, 12, 13)


2 4

Página 2 de Laboratorio II
c) OREX/NOREX

Mediante el método OREX/NOREX, simplifique dibuje y arme los circuitos de las


siguientes funciones.

a) Y   (0,2,3,5,7,9, ,10,13,15)
4

b) X   (1, 4, 5, 6, 8,12,14,15)
4

d) QUINE McCLUSKEY*

Aplicando el método de multifunciones sintetice y represente los circuitos:

a)
F1  5 (0,1,2,15,16,19,23)
F2  4 (0,3,4,7,11,12)
F3  4 (0,1,4,5,6,8,9, 15)

b)
F1  4 (3,5,6,7,9)   (0,4,8,10,12)
F2   4 (5, 6, 8, 12, 13)    (0, 2, 3, 7)
F3  4 (0,1,2,3,4)   (5,6,7,8,9,10)

e) VARIABLES BIFORMES*

Mediante el método de variables biformes, simplifique las siguientes funciones:

 X  5 (0,1,4,7,9,16,20,24,29,31)

 Y  v wx yz  vw x y z  wxy z  w x yz  w x y z  v w x yz

f) APLICACIÓN MAPAS DE KARNAUGH *

Un faro de calle se enciende cuando su señal de excitación está en nivel bajo. Esta
señal está controlada por un circuito de cuatro entradas: x1-> orden de encender la
luz x2->orden de inhibir la luz; x3->orden de emergencia; x4->aviso del estado de
la luz en la calle: "1" si es de día, "0" si es de noche. La luz se debe iluminar cuando
haya orden de encenderla, el estado de la luz exterior sea el apropiado y no haya
inhibición, excepto si hay emergencia, en cuyo caso la luz se enciende

Página 3 de Laboratorio II
independientemente de las otras señales. De una tabla de verdad del circuito que
controla la luz diseñándolo con los elementos que estime oportunos.

Expresar las funciones mínimas de salida como suma de productos y como producto
de sumas (PFC y SFC).

g) APLICACIÓN OREX/NOREX *

Se tiene un circuito digital con cuatro entradas y dos salidas, el circuito indicara a su
salida la combinación binaria correspondiente al número de ceros que tenga en sus
entradas, nunca se presenta el cero (0) a la entrada.

Se pide:
- Determinar la tabla de verdad.
- Simplificarla empleando el método de orex/norex.

h) APLICACIÓN QUINE McCLUSKEY *

Diseñe un circuito de señalización donde deberá desplegarse (en binario natural) el


valor correspondiente a la entrada seleccionada de 4 posibles (E4, E3, E2, E1).
Puesto que simultáneamente puede haber varias entradas activas se fijará prioridad
a la entrada activa de mayor subíndice. En el caso de que ninguna de las entradas
se encuentre activa, a la salida se obtiene el equivalente binario del decimal “7”.

Se pide:

- Determinar la tabla de verdad.


- Simplificarla empleando el método de Quine McCluskey.
- Implementar la función empleando:

1) Únicamente compuertas NAND.


2) Únicamente compuertas OR.

i) APLICACIÓN VARIABLES BIFORMES *

Un circuito tiene como entradas dos números binarios de dos bits cada uno A=a1,
a0; B=b1, b0; siendo los bits de A de mayor peso posicional que los de B. Se desea
que tenga salidas 11 si A=B, 01 si A>B, 10 si A<B y 00 en otro caso.
Se pide:
- Determinar la tabla de verdad.
- Simplificarla empleando el método de variables biformes.
- Implementar la función empleando:

1) Únicamente puertas NAND.

Página 4 de Laboratorio II
2) Únicamente puertas OR.

j) APORTE DEL ALUMNO.

En base a los puntos del laboratorio, prepare una función e implemente en el


laboratorio, obteniendo la tabla de verdad, explique claramente su funcionamiento.

SIMULACIÓN

Para todos los puntos anteriores, utilice los programas de simulación, MULTISIM o
PROTEUS o QUARTUS II e implemente con dicha herramienta el Laboratorio.

Todos los puntos que tengan * se deben realizar el programa en VHDL y captura
esquemática donde corresponda y simularlo en QUARTUS II

5. REALIZACIÓN DE LABORATORIO.
Para la presentación de laboratorio el estudiante necesariamente debe disponer de todos
los puntos armados en protoboard antes del inicio de sesión de la práctica.

a) METODO MAPAS DE KARNAUGH

Implemente los circuitos simplificados en el preinforme y compruebe su tabla funcional,


verificando las combinaciones una por una.

b) OREX/NOREX

Implemente el circuito simplificado en el preinforme y compruebe su tabla funcional,


verificando las combinaciones una por una.

c) QUINE MCCLUSKEY

Implemente los circuitos simplificados en el preinforme y compruebe su tabla funcional,


verificando las combinaciones una por una y de todas las funciones.

d) VARIABLES BIFORMES

Implemente el circuito simplificado en el preinforme y compruebe su tabla funcional,


verificando las combinaciones una por una.

e) APLICACIÓN MAPAS DE KARNAUGH

Interprete el problema enunciado, elabore una tabla funcional, simplifique las funciones
y arme el circuito resultante elaborado en el preinforme.
Página 5 de Laboratorio II
La comprobación solo es necesario realizarlo con indicadores (led`s).

f) APLICACIÓN OREX/NOREX

Interprete el problema enunciado, elabore una tabla funcional, simplifique la función y


arme el circuito resultante elaborado en el preinforme.

La comprobación solo es necesario realizarlo con indicadores (led`s).

g) APLICACIÓN QUINE MCCLUSKEY

Interprete el problema enunciado, elabore una tabla funcional, simplifique las funciones
y arme el circuito resultante elaborado en el preinforme.

La comprobación solo es necesario realizarlo con indicadores (led`s).

h) APLICACIÓN VARIABLES BIFORMES

Interprete el problema enunciado, elabore una tabla funcional, simplifique la función y


arme el circuito resultante elaborado en el preinforme.
La comprobación solo es necesario realizarlo con indicadores (led`s).

i) APORTE DEL ALUMNO.

Arme el circuito propuesto en laboratorio y verifique su funcionamiento según su tabla


funcional.

6. INFORME FINAL.
Presentar los resultados obtenidos en laboratorio, con los respectivos análisis de los datos
experimentales obtenidos, interpretando cada uno de los comportamientos físicos
obtenidos, para cada una de las prácticas elaboradas realizar las respectivas observaciones
con respecto a lo aprendido y emitir conclusiones de las mismas.

Tome en cuenta los objetivos de la práctica pues son muy importantes para la elaboración
final del informe.

 Emita Las conclusiones para cada punto de Laboratorio en forma independiente.

 Realice una comparación entre la hoja simulada y el punto desarrollado en


laboratorio.

 En base a la comparación emita una conclusión completa y enúncielo en si informe.

Este informe será el vivo reflejo de lo que el alumno haya ejecutado como trabajo en
laboratorio.

Página 6 de Laboratorio II
3
Laboratorio

MÓDULOS
COMBINACIONALES
1. LISTA DE MATERIALES

 Circuitos integrados TTL como ser: 7400, 7402, 7404, 7408, 7410,
7411, 7432, 7486, 74125, 74125 etc.
 Circuitos integrados como ser: 74151, 74154, 74153, 74157, 7485,
74148, 74147, 7447, 7448.
 Dip Switch de 8 canales mínimo.
 Lote de resistencias de Protección de 10[KΩ]. y de 330[Ω]. (varias
unidades).
 Led`s de diversos colores y display ánodo y cátodo común.
 Diversos tamaños de cables de conexión.
 Proto board de 3 cuerpos mínimo.

2. LISTA DE INSTRUMENTOS A UTILIZAR

 Fuente de alimentación fija 5v o variable DC.


 Multímetro.

Página 1 de Laboratorio III


3. OBJETIVOS DE LA PRÁCTICA A REALIZAR

OBJETIVO GENERAL
Diseñar circuitos digitales utilizando módulos combinacionales MSI.
OBJETIVOS ESPECIFICOS
Tenemos los siguientes por cada experimento:
a) Dar a conocer este tipo de circuitos digitales que hacen las veces de
conmutadores electrónicos capaces de distribuir información
procedente de lugares diferentes.
b) Conocer el funcionamiento de los circuitos decodificadores
empleados en múltiples dispositivos o circuitos digitales, a la vez
verificar la similitud entre un demultiplexor y un decodificador.
c) Analizar el funcionamiento de estos circuitos, capaces de comparar
dos o más magnitudes numéricas binarias.
d) Dar a conocer al estudiante los errores que se producen en una
transmisión digital y por ende Aprender a detectar y corregir errores
por el método de hamming.
e) Analizar el funcionamiento de los transcodificadores y la versatilidad
de su diseño.
f) Aplicar los conocimientos adquiridos por el estudiante durante la
experimentación del laboratorio y plasmarlos en la proposición de un
ejemplo y montarlo en laboratorio.

4. REALIZACIÓN DEL PRE - INFORME.

a) APLICACIÓN DE MULTIPLEXORES*

a.1) Realizar un multiplexor de ocho entradas y tres variables de selección


utilizando la conmutación tri-state con una entrada de habilitación, en
las entradas se encuentran señales digitales. Realzar una tabla de
verdad, en la cual se aprecien los valores de la salida dependiendo
del valor de la variable de selección y de la señal de habilitación
(enable).

a.2) Empleando multiplexores de tres entradas de selección y todos los


multiplexores que hagan falta de dos entradas de selección, realice un
sistema de seguridad de cuatro áreas de maquinarias que indique en un
display de 7 segmentos el grado de alerta en una escala del 0 al 9,
siendo el valor más alto un nivel crítico. El área 1 tiene un grado de
alerta de 3 unidades, el área 2 tiene un grado de alerta de 2 unidades, el
área 3 tiene un grado de alerta de 1 unidad, el área 4 tiene un grado de

Página 2 de Laboratorio III


alerta de 3 unidades. La suma de todas las unidades es el grado de
alerta del sistema general mostrada en el display.

a.3) Aplicando MSI, diseñar un circuito lógico que permita que a partir del
código BCD natural obtener el código BCD 5311 o el código BCD 6311
de acuerdo a una entrada que seleccione el código a obtener.

Se pide lo siguiente:

a) Hallar la tabla de verdad de la función.

b) Materializar ambas funciones con multiplexores y el menor número


posible de compuertas lógicas básicas, seleccionando adecuadamente
el número de entrada de datos y selección de los multiplexores.

b) APLICACIÓN DEMUX/DECOD

b.1) Sintetizar la siguiente multifunción utilizando los decodificadores de 3


a 8.

F1  4 0,1,2,3,5,8,13  4 9,10,11,12
F2  4 1,3,6,10,15  4 2,5,9,13
F3  4 2,14,15

b.2) Diseñar un decodificador de código BCD 84-2-1 a un display de siete


segmentos ánodo común con demultiplexores.

Se pide lo siguiente:

a) Hallar la tabla de verdad de las funciones.


b) Materializar las funciones con demultiplexores y el menor número
posible de compuertas lógicas básicas, seleccionando adecuadamente
el número de entrada de datos y selección de los demultiplexores.

b.3) Se introducen 4 bits a un sistema detector de código, diseñar un


circuito que decida si el código pertenece al código BCD gray, BCD
Aiken, BCD 5211 o BCD 441-2 y además detecte la paridad par e
impar del número binario si este es del código BCD Aiken.

c) APLICACIÓN DE LOS COMPARADORES*

Página 3 de Laboratorio III


c.1) Diseñar un circuito que compare la magnitud dos números binarios
con signo expresados en el código biquinario que indique A<B, A=B,
A>B.
c.2) Con la ayuda de comparadores, multiplexores y las puertas lógicas
necesarias, realizar un circuito que, dados tres números de cuatro
bits BCD A, B y C en el código BCD en exceso de tres sin signo,
proporcione a la salida el número intermedio de los tres, además
indique si existen iguales.

d) DETECCION Y CORRECCIÓN DE ERRORES*

d.1) A partir del código BCD 631-1, diseñe un circuito de transmisión con
corrección de errores y un circuito de recepción de dicho código, que
permita corregir al menos un error generado en forma manual.

d.2) A partir del código gray de 3 bits, diseñe un circuito de transmisión y


recepción bidireccional de dicho código, que permita corregir al
menos un error generado en forma manual para las dos rutas de
transmisión recepción.

e) TRANSCODIFICADORES

Utilizando CI de mediana escala de integración y pequeña escala de


integración diseñar un circuito que transcodifique un número en binario
natural de 6 bits a dos dígitos en BCD natural. Además, mostrar del
circuito anterior el resultado en displays de 7 segmentos.

f) APORTE DEL ALUMNO

En base a los puntos del laboratorio, prepare un ejercicio e implemente en


el laboratorio, obteniendo la tabla de verdad, explique claramente su
funcionamiento.

SIMULACIÓN

Para todos los puntos anteriores, utilizar un programa de simulación (workbench,


Circuit Maker, Multisim, Proteus, etc.) e implementar con dicha herramienta el
Laboratorio.

Todos los puntos se deben realizar el programa en VHDL y captura esquemática


donde corresponda y simularlo en QUARTUS II de ALTERA e ISE de XILINX y
VERYLOG.

5. REALIZACIÓN DE LABORATORIO.

Página 4 de Laboratorio III


Para la presentación de laboratorio el estudiante necesariamente debe disponer de
todos los puntos armados en protoboard antes del inicio de sesión de la práctica.

a) APLICACIÓN DE MULTIPLEXORES

a.1) Implemente en laboratorio el circuito o los circuitos diseñados en el


preinforme, tome en cuenta el análisis que se le debe dar al punto
para no ocasionar gastos innecesarios de dispositivos integrados.

a.2) Implemente el circuito resultante tomando en cuenta lo requerido, y


compruebe uno por uno su tabla funcional.

a.3) Interprete el problema, elabore su tabla funcional e implemente el o


los circuitos resultantes, comprobando uno por uno su tabla funcional
recuerde que son dos funciones.

b) APLICACION DE DEMUX/DECOD

b.1) Implemente en laboratorio las funciones dadas tomando en cuenta lo


requerido y compruebe su tabla funcional.

b.2) Implemente el circuito resultante de su diseño utilizando la menor


cantidad de compuertas lógicas, investigue acerca de sus pines
auxiliares del dispositivo.

b.3) Interprete el enunciado, elabore su tabla funcional y arme el circuito


diseñado en el preinforme con la menor cantidad de dispositivos
integrados.

c) APLICACIÓN DE LOS COMPARADORES

c.1) Implemente el circuito planteado en el preinforme usando comparadores


y compuertas lógicas y verifique su correcto funcionamiento.

c.2) Implemente el circuito planteado en el preinforme tomando en cuenta


que usted puede usar el enable del multiplexor para ahorrar compuertas
lógicas.

d) DETECCIÓN Y CORRECCIÓN DEERRORES

d.1) Implemente los circuitos diseñados en el preinforme, tomando en


cuenta que tiene que colocar led´s tanto en la señal de transmisión
como en la de recepción.

Página 5 de Laboratorio III


d.2) Implemente el circuito resultante diseñado en el preinforme, prevea
una manera fácil de generar un error en forma manual, tal que a la
salida éste sea corregido. Recuerde que puede usar demultiplexores
para generar el código que usted necesita y la corrección es
automática.

e) TRANSCODIFICADORES

Implemente los circuitos diseñados y verifique la versatilidad de los mismos,


tomando en cuenta que no es necesario pasar por un código intermedio.

f) APORTE DEL ALUMNO.

Arme el circuito propuesto en laboratorio y verifique su funcionamiento


según su tabla funcional.

6. INFORME FINAL.

Presentar los resultados obtenidos en laboratorio, con los respectivos análisis de


los datos experimentales obtenidos, interpretando cada uno de los
comportamientos físicos obtenidos, para cada una de las prácticas elaboradas
realizar las respectivas observaciones con respecto a lo aprendido y emitir
conclusiones de las mismas.

Tome en cuenta los objetivos de la práctica pues son muy importantes para la
elaboración final del informe.

 Emita las conclusiones para cada punto de Laboratorio en forma


independiente.

 Realice una comparación entre la hoja simulada y el punto desarrollado en


laboratorio.

 En base a la comparación emita una conclusión completa y enúncielo en si


informe.

Este informe será el vivo reflejo de lo que el alumno haya ejecutado como
trabajo en laboratorio

Página 6 de Laboratorio III


4
Laboratorio

CIRCUITOS
ARITMÉTICOS
1. LISTA DE MATERIALES

 Circuitos integrados TTL como ser: 7400, 7402, 7404, 7408, 7410,
7411, 7432, 7486, 74125, 74125 etc.
 Circuitos integrados como ser: 74151, 74153, 7483, 7485, 7447, 7448,
74147, 74148, 74183.
 Dip Switch de 10 canales mínimo.
 Lote de resistencias de protección de 10[K]. y de 330[]. (varias
unidades).
 Led’s de diversos colores.
 Varios displays de 7 segmentos ánodo y cátodo común.
 Diversos tamaños de cables de conexión.
 Protoboard de 4 cuerpos mínimo.

2. LISTA DE INSTRUMENTOS A UTILIZAR


 Fuente de alimentación fija 5v o variable DC.
 Multímetro.

3. OBJETIVOS DE LA PRÁCTICA A REALIZAR


OBJETIVO GENERAL
Verificar el funcionamiento y aplicar los circuitos aritméticos capaces de
realizar sumas restas y hasta multiplicaciones.

Página 1 de Laboratorio IV
OBJETIVOS ESPECIFICOS
Tenemos los siguientes por cada experimento:
a) Estudiar el funcionamiento de circuitos digitales capaces de hacer la
suma y la resta aritmética entre uno o más dígitos de 4 bits cada
uno.
b) Realizar circuitos combinacionales en base a circuitos sumadores que
sean capaces de simular una función lógica, de manera tal que sea
utilizado la estructura interna de estos dispositivos integrados.
c) Realizar la implementación de una ALU (Unidad aritmética lógica) en
base a circuitos sumadores, algunas compuertas lógicas y otros
circuitos MSI, viendo el funcionamiento del diseño simulando el
funcionamiento del CI 74181 (ALU de 4 Bits).
d) Dar a conocer al estudiante que mediante estos circuitos integrados
y con la ayuda de algunas compuertas lógicas es posible la
realización de un multiplicador básico de 1 dígito.

4. REALIZACIÓN DEL PRE - INFORME.

a) DISEÑO DE SUMADORES BCD*

Diseñe e implemente un circuito sumador/restador para dos números con


signo de 2 dígitos cada uno, del código BCD 5311, tal que la información de
entrada y salida debe ser representada en binario decimal a través de
Display’s de 7 Segmentos. El circuito debe ser capaz de realizar operaciones
con números en el rango de  99 99 =  198.

b) LÓGICA COMBINACIONAL CON SUMADORES*

b.1) Diseñe un transcodificador de código Binario Natural de 7 bits a BCD


Aiken con 2 dígitos, utilizando circuitos sumadores (7483 y 74283).
Implemente un diseño parecido, pero solo de binario natural 6 bits a BCD
dos dígitos.

b.2) Diseñe un transcodificador de BCD Exceso de 3 con 2 dígitos a Binario


Natural a 7 bits, utilizando circuitos sumadores (7483 y 74283). Implemente
un diseño parecido, pero solo de BCD Exceso de 3 con dos dígitos a binario
natural 6 bits.

b.3) Sintetice la siguiente multifunción utilizando sumadores, para esto


utilice por lo menos dos métodos distintos para cada caso:

F1 =∑4 (0,4,11,12,14,15) + ∑ø (3,5,6,9)


F2 =∑4 (0,1,4,5,7,15,13) + ∑ø (2,3,8,11,12)

Página 2 de Laboratorio IV
c) DISEÑO DE UNIDAD ARITMÉTICA LÓGICA (ALU) *

Diseñar una ALU con las especificaciones siguientes:

 A y B son números de 4 bits en Binario Natural.

 Consta de tres entradas de selección C2, C1 y C0 que permite controlar


las distintas operaciones.

 Los diferentes resultados deben ser desplegados en displays de 7


segmentos y LED’s necesarios, respetando la numeración hexadecimal.

C2 C1 C0 Función Observación
0 0 0 COM_10(B) Complemento a 10
0 0 1 –B Complemento a 2
0 1 0 A and B AND (Operación lógica)
0 1 1 A orex B Or exclusivo (Operación lógica)
1 0 0 A or B OR (Operación lógica)
1 0 1 B+A Suma Aritmética
1 1 0 A–B Resta Aritmética
1 1 1 B–A–1 B – A – 1 (Operación Aritmética)
 Implemente un diseño parecido para laboratorio, tomando solo 2
entradas de selección.

d) CIRCUITO MULTIPLICADOR*

Diseñe en base a circuitos sumadores, un circuito multiplicador


combinacional para dos números BCD de dos dígitos cada uno, además del
bit de signo. El resultado debe ser desplegado en display’s de 7 segmentos.
Implemente un diseño para un dígito.

e) CIRCUITO DIVISOR*

Diseñe en base a circuitos sumadores, un circuito divisor combinacional para


un dividendo de 5 bits con un divisor de 3 bits. Desplegar el cociente y el
residuo en display’s de 7 segmentos.

f) APORTE DEL ALUMNO*

En base a los puntos del laboratorio, prepare un ejercicio e implemente en el


laboratorio, obteniendo los resultados que se planteó, explique claramente su
funcionamiento.

Página 3 de Laboratorio IV
SIMULACIÓN

Para todos los puntos anteriores, utilice los programas de simulación, MULTISIM,
PROTEUS o QUARTUS II, e implemente con dicha herramienta el Laboratorio.

Todos los puntos que tengan * se deben realizar el programa en VHDL y captura
esquemática donde corresponda y simularlo en QUARTUS II.

5. REALIZACIÓN DE LABORATORIO.
Para la presentación de laboratorio el estudiante necesariamente debe disponer de
todos los puntos armados en protoboard antes del inicio de sesión de la práctica.

a) DISEÑO DE SUMADORES BCD

Implemente el circuito diseñado en el preinforme, tomando en cuenta lo


requerido en cuanto a los dígitos, y muestre los resultados en displays de 7
segmentos + 1 led de signo o el mismo punto decimal del display.

b) LÓGICA COMBINACIONAL CON SUMADORES

b.1) Implemente el circuito diseñado en el preinforme, introduzca los datos


en código binario natural y obtenga su equivalente en BCD Aiken, de dos
dígitos ej.:

Introduzca 001111 ------- obtenga 16


Introduzca 000111 ------- obtenga 07
Introduzca 111010 ------- obtenga 58

El máximo número que puede obtener es 111111 que equivale a 63 en


Aiken.
b.2) Implemente el circuito diseñado en el preinforme, introduzca los datos
en BCD Exceso de 3 con dos digitos y obtenga su equivalente en Binario
Natural de 6 bits, de dos dígitos ej.:

Introduzca 0110 1100 (39) ------- obtenga 100111


Introduzca 0000 1000 (05) ------- obtenga 000101
Introduzca 0100 1010 (17) ------- obtenga 010001

El máximo número que puede convertir es 63 (1001 0110) que equivale a


111111 en binario natural.

b.3) Implemente como mínimo los dos circuitos que cumplan con la
multifunción pedida y realizar pruebas para su correcto funcionamiento.

Página 4 de Laboratorio IV
c) DISEÑO DE UNIDAD ARITMÉTICA LÓGICA (ALU) DE USO
ESPECIFICO

Implemente una ALU, con 2 variables de selección. Escoger 2 operaciones


aritméticas, un complemento y una operación lógica.

d) CIRCUITO MULTIPLICADOR

Implemente el circuito diseñado en el preinforme elaborado en base


esencialmente a sumadores completos, el resultado tendrá que observarse
desde -81 hasta + 81.

e) CIRCUITO DIVISOR

Implemente el circuito diseñado en el preinforme elaborado en base


esencialmente a sumadores completos.

f) APORTE DEL ALUMNO.

Implemente el circuito propuesto en laboratorio y verifique su funcionamiento


según el problema a ser resuelto.

6. INFORME FINAL.

Presentar los resultados obtenidos en laboratorio, con los respectivos análisis de


los datos experimentales obtenidos, interpretando cada uno de los
comportamientos físicos obtenidos, para cada una de las prácticas elaboradas
realizar las respectivas observaciones con respecto a lo aprendido y emitir
conclusiones de las mismas.

Tome en cuenta los objetivos de la práctica pues son muy importantes para la
elaboración final del informe.

 Emita Las conclusiones para cada punto de Laboratorio en forma


independiente.
 Realice una comparación entre la hoja simulada y el punto desarrollado en
laboratorio.
 En base a la comparación emita una conclusión completa y enúncielo en si
informe.

Este informe será el vivo reflejo de lo que el alumno haya ejecutado como trabajo
en laboratorio.

Página 5 de Laboratorio IV
6
Laboratorio

APLICACIÓN DE SISTEMAS
SECUENCIALES
1. LISTA DE MATERIALES

 Circuitos integrados TTL como ser: 7400, 7402, 7404, 7408, 7410,
7411, 7432, etc.
 Circuitos integrados como ser: 7474, 7476, 74112, 74174, 7447, 7448,
74147, 74148.
 Dip Switch de 12 canales mínimo.
 Lote de resistencias de protección de 10[K]. y de 330[]. (varias
unidades).
 Led’s de diversos colores.
 Varios displays de 7 segmentos ánodo y cátodo común.
 Diversos tamaños de cables de conexión.
 Protoboard de 4 cuerpos mínimo.

2. LISTA DE INSTRUMENTOS A UTILIZAR

 Fuente de alimentación fija 5v o variable DC.


 Multímetro.

Página 1 de Laboratorio VI
3. OBJETIVOS DE LA PRÁCTICA A REALIZAR

OBJETIVO GENERAL
Aplicar conocimientos de diseño de circuitos digitales secuenciales, en
forma conjunta con la implementación de autómatas de Mealy y Moore.
OBJETIVOS ESPECIFICOS
Tenemos los siguientes por cada experimento:
a) Aplicar en problemas de control los biestables SR, en forma conjunta
con el CI 74LS279.
b) Poner en práctica el diseño de sistemas secuenciales empleando los
autómatas de Mealy y Moore, en este caso en particular son circuitos
de una sola entrada y salida.
c) Poner en práctica el diseño de sistemas secuenciales empleando los
autómatas de Mealy y Moore, incrementando el número de
entradas.
d) Aplicar los conocimientos adquiridos en la síntesis con dispositivos
MSI para reducir el número de CI a emplear en el armado del
laboratorio.
e) Realizar el diseño de problemas de sistemas secuenciales aplicando
los conocimientos del estudiante, sin importar el método a
emplearse.

4. REALIZACIÓN DEL PRE - INFORME.

a) AUTOMATAS DE MEALY Y MOORE (una entrada)*

a1) Diseñar un sistema secuencial que calcule el bit de paridad par sobre
los ceros (devolver un 0 si el número de 0s es impar y un 1 en caso
contrario) de un número de 3 bits. Dicho número se recibirá de forma serial
por una única línea. Mientras la secuencia esté incompleta la salida del
circuito tendrá valor 0.

 Diseñar el circuito secuencial mediante el modelo de Mealy.


 Diseñar el circuito secuencial mediante el modelo de Moore.

Página 2 de Laboratorio VI
En ambos casos para este diseño, use circuito:
 De reacción directa
 Con FF D

a2) Diseñar un sistema secuencial con una entrada binaria X y una única salida
Z que detecte tres o más unos consecutivos en la línea de entrada X.

 Diseñar el circuito secuencial mediante el modelo de Mealy.


 Diseñar el circuito secuencial mediante el modelo de Moore.

En ambos casos para este diseño, use circuito:


 De reacción directa
 Con FF JK

b) AUTOMATAS DE MEALY Y MOORE (dos entradas)*

b1) Se pretende diseñar un sistema secuencial síncrono con dos entradas


E1 y E0, y una salida S usando biestables D, de manera que proporcione
salida alta sólo cuando las dos entradas estén a nivel bajo habiendo estado
previamente una de ellas en nivel alto en el ciclo de reloj anterior. Las
transiciones se producen en el flanco de bajada del reloj. Los siguientes
ciclos de reloj la entradas pueden asumir valores cuales quiera, exceptuando
que las dos entradas estén en nivel alto, dado el caso la salida asumiría un
valor de 0 lógico.
 Realizar el diagrama de transición de estados, definiendo y
codificando los estados y las entradas.
 Realizar la tabla de verdad que resuelve el problema.
 Encontrar el circuito.

b2) Diseñar un circuito secuencial con dos entradas, A y B, que tengan una
salida Z valdrá 0 cuando en los últimos 4 ciclos de reloj, contara del 0 al 3
en código gray y 1 el resto del tiempo.

b3) Se desea diseñar un circuito que controle la apertura de una caja fuerte.
Para abrir la caja fuerte es necesario introducir la secuencia de teclas
correcta. Para ello se dispone de un teclado con cuatro teclas W, X, Y, Z. La
secuencia que abre la caja fuerte será “W W Z”. La salida del circuito será
ABIERTO, CERRADO indicando la apertura o no de la caja. La caja
inicialmente se encuentra cerrada.

Una vez introducida la contraseña correcta cualquier pulsación de tecla hará


que la caja se cierre se y contará como tecla para una nueva secuencia.

Página 3 de Laboratorio VI
 Sintetizar el circuito correspondiente al diagrama usando los
biestables D (síncronos por flanco de subida) y las puertas lógicas
que se consideren necesarias. Razonar todas las decisiones tomadas.

 Nota: Se codificarán las entradas y las salidas usando la siguiente


tabla:

c) SECUENCIALES CON MSI*

c.1) Un motor dispone de un pulsador P. Si el motor está parado y se pulsa


P, el motor no se pondría en marcha hasta que se soltara P. De igual forma
si el motor está en marcha y se pulsa P, el motor no se pararía hasta que se
soltara P. Es decir, la activación o desactivación se produce por paso de 1 a
0. Por ejemplo, comenzando el motor parado, para que se active, su
pulsador deberá pasar primero a activo (el motor estará en proceso de
encendido) y después a inactivo (el motor pasará a estar encendido). De
igual manera se realizará el paso de encendido a parado.

Utilizando Flip flops tipo T para la implementación del mismo.Diseñar el


circuito secuencial mediante el modelo de Mealy.

c2) Realice el anterior circuito por Moore.

d) MISCELANEOS*

d.1) Del siguiente circuito obtener el diagrama de estados, diagrama de


flujo y ecuación característica, determinar que tarea realiza y analizar el
diagrama de tiempos de su salida y estados respecto a su entrada.

Página 4 de Laboratorio VI
d.2) Para siguiente diagrama de estados obtener un equivalente
simplificando sus estados, indicar que tarea realiza el sistema secuencial,
sintetizar el circuito que realice esta tarea e implementarlo usando
biestables D (síncronos por flanco de subida) y el mínimo número de
puertas lógicas que se consideren necesarias.

Usar la codificación que se indica en las siguientes tablas:

Página 5 de Laboratorio VI
e) APORTE DEL ALUMNO*

En base a los puntos del laboratorio, prepare un ejercicio e implemente en el


laboratorio, obteniendo los resultados que se planteó, explique claramente su
funcionamiento.

SIMULACIÓN

Para todos los puntos anteriores, utilice los programas de simulación, MULTISIM,
PROTEUS o QUARTUS II e implemente con dicha herramienta el Laboratorio.

Todos los puntos que tengan * se deben realizar el programa en VHDL y captura
esquemática donde corresponda y simularlo en QUARTUS II

5. REALIZACIÓN DE LABORATORIO.

Para la presentación de laboratorio el estudiante necesariamente debe disponer de


todos los puntos armados en protoboard antes del inicio de sesión de la práctica.

a) AUTOMATAS DE MEALY Y MOORE (una entrada)

a1) Implemente los circuitos resultantes para ambos casos y decida cual es
mejor el de reacción directa o el con FF.

a2) Implemente el circuito diseñado en el preinforme.

b) AUTOMATAS DE MEALY Y MOORE (dos entradas)

b1, b3) Implemente el circuito diseñado en el preinforme con FF D.

b2) Implemente el circuito diseñado en el preinforme con el FF que usted


considere más eficiente.

c) SECUENCIALES CON MSI

c1, c2) Implemente el circuito resultante en el preinforme y verifique su


correcto funcionamiento, observe si existe alguna diferencia en la cantidad
de dispositivos que se pudo haber empleado en caso de proceso de síntesis
normal y considerando que un valor de entrada no puede ser posible y
como plantea para que no ocurra.

Página 6 de Laboratorio VI
d) MISCELANEOS

d.1) Arme el circuito propuesto en la guía y compruebe su diagrama de


tiempo obtenido en el preinforme, y determine que tarea realiza.
d.2) Implemente el circuito secuencial resultante de la síntesis que cumpla
con el diagrama propuesto.

e) APORTE DEL ALUMNO.

Arme el circuito propuesto en laboratorio y verifique su funcionamiento según


el problema a ser resuelto.

6. INFORME FINAL.

Presentar los resultados obtenidos en laboratorio, con los respectivos análisis de


los datos experimentales obtenidos, interpretando cada uno de los
comportamientos físicos obtenidos, para cada una de las prácticas elaboradas
realizar las respectivas observaciones con respecto a lo aprendido y emitir
conclusiones de las mismas.

Tome en cuenta los objetivos de la práctica pues son muy importantes para la
elaboración final del informe.

 Emita Las conclusiones para cada punto de Laboratorio en forma


independiente.

 Realice una comparación entre la hoja simulada y el punto desarrollado en


laboratorio.

 En base a la comparación emita una conclusión completa y enúncielo en si


informe.

Este informe será el vivo reflejo de lo que el alumno haya ejecutado como
trabajo en laboratorio.

Página 7 de Laboratorio VI
7
Laboratorio

CONTADORES
1. LISTA DE MATERIALES

 Circuitos integrados TTL como ser: 7400, 7402, 7404, 7408, 7410,
7411, 7432, 7486, 74125, 74125 etc.
 Circuitos integrados como ser: 74151, 74153, 7483, 7485, 7447, 7448,
74147, 74148.
 Circuitos CI contadores: 7490, 7493, 74160, 74161, 74190, 74193, etc.
 Dip Switch de 6 canales mínimo.
 Lote de resistencias de protección de 10[K]. y de 330[]. (varias
unidades).
 Led`s de diversos colores.
 Varios displays de 7 segmentos ánodo y cátodo común.
 Diversos tamaños de cables de conexión.
 Proto board de 4 cuerpos mínimo.

2. LISTA DE INSTRUMENTOS A UTILIZAR

 Fuente de alimentación fija 5v o variable DC.


 Multímetro.
 Generador de señales.
 Frecuencímetro.

Página 1 de Laboratorio VII


3. OBJETIVOS DE LA PRÁCTICA A REALIZAR
OBJETIVO GENERAL
Diseñar e implementar circuitos digitales contadores para diversas
aplicaciones.
OBJETIVOS ESPECIFICOS
Tenemos los siguientes por cada experimento:
a) Presentar y analizar el funcionamiento de estos dispositivos capaces
de llevar la cuenta binaria u otros del número de pulsos de entrada
que reciben, además verificar el funcionamiento de los contadores
síncronos tanto ascendentes como descendentes.
b) Presentar y analizar el funcionamiento de diseños digitales capaces
de realizar una cuenta determinada a solo una señal de entrada
como lo es el reloj, verificando a demás el funcionamiento de estos
contadores asíncronos tanto ascendentes como descendentes.
c) Realizar la aplicación de estos dispositivos como pastillas a
importantes elementos que se usan en el diario vivir, como ser
relojes, cronómetros, etc.
d) Aplicar los conocimientos adquiridos por el estudiante durante la
experimentación del laboratorio de Contadores y plasmarlos en la
proposición de un ejemplo a desarrollar en laboratorio.

4. REALIZACIÓN DEL PRE - INFORME.

a) DISEÑO DE CONTADORES SÍNCRONOS*

a.1) Diseñe e implemente un contador síncrono ascendente/descendente


con los FF que según a un criterio especifico sean seleccionados, que
genere el código Johnson para 6 bits mas una variable que seleccione
Up/Down

a.2) Determine la secuencia de salida del contador módulo-5 de la figura


en función de la evolución de las entradas x, y.
Diseñe el contador analizado

Página 2 de Laboratorio VII


a.3) Diseñe un contador con una entrada de control C, tal que:
 Cuando C=0, el contador cuente en la secuencia: 000, 001, 010, 011,
100, 101, 110, 111.
 Cuando C=1, el contador cuente en la secuencia: 000, 001, 011,010,
110, 111, 101, 100.
 Las secuencias deben repetirse. Si cambia C durante la ejecución
debe seguir contando según el nuevo valor, no retorna al estado
inicial.
 Estado inicial: 000; determinar las ecuaciones para programar los flip
flops.

b) DISEÑO DE CONTADORES ASÍNCRONOS

b.1) Diseñe un circuito contador asíncrono BCD Aiken ascendente cuyas


salidas deben ser visualizadas en leds, además en un display de 7
segmentos. El contador debe poder ser inicializado en cualquier valor.

b.2) Diseñe un contador asíncrono módulo 16 de cuenta ascendente que


proporcione el resultado en binario natural. El circuito dispone de una señal
de inicialización activa a nivel bajo para que comience desde cero y un
generador de onda cuadrada que nos proporcione la señal de reloj. Se
disponen de FF JK con una entrada de reloj activa por flanco de subida.
Sintetice utilizando el menor número de FF’s.

b.3) Diseñe e implemente un contador asíncrono BCD, con una señal de


control que permita contar de manera ascendente y descendente. Realizar
el borrado de forma asíncrona y explicar cuál sería la diferencia en
implementar un borrado síncrono.

c) APLICACIÓN DE CONTADORES*

c.1) Diseñe un juego de tragamonedas, que consista en tres números que


sean generados aleatoriamente cada uno en un display diferente, cuando el
usuario pulse un botón, la generación se para, y se le avisa al usuario si
escogió al mayor o no.

c.2) Diseñe un sistema que permita mostrar horas, minutos y segundos en


displays 7 segmentos simulando ser un reloj digital, con la opción de
insertar la hora (hora, min, seg) que el usuario decida.

c.3) Diseñe e implemente un divisor de frecuencia seleccionable, debe ser


capaz de dividir una señal en módulo 2, módulo 4 y módulo 8.

Página 3 de Laboratorio VII


d) APORTE DEL ALUMNO.

En base a los puntos del laboratorio, prepare un ejercicio e implemente en el


laboratorio, obteniendo los resultados que se planteó, explique claramente su
funcionamiento.

SIMULACIÓN

Para todos los puntos anteriores, utilice un programa de simulación (workbench,


circuit maker, multisim, etc.) e implemente con dicha herramienta el Laboratorio.

Todos los puntos que tengan * se deben realizar el programa en VHDL y captura
esquemática donde corresponda y simularlo en QUARTUS II

5. REALIZACIÓN DE LABORATORIO.

Para la presentación de laboratorio el estudiante necesariamente debe disponer de


todos los puntos armados en protoboard antes del inicio de sesión de la práctica.

a) APLICACIÓN Y DISEÑO DE CONTADORES SÍNCRONOS

a.1) Implemente el circuito propuesto en el preinforme y verifique su


funcionamiento utilizando un reloj en base a LM555.

a.2) Implemente el circuito propuesto en el preinforme y verifique su


funcionamiento utilizando los circuitos integrados que mejor dispuso para su
correcto funcionamiento.

a.3) Implemente el circuito propuesto en el preinforme y verifique su


funcionamiento utilizando un reloj manual (eliminador de rebotes).

b) APLICACIÓN Y DISEÑO DE CONTADORES ASÍNCRONOS

b.1) Implemente el circuito resultante propuesto en laboratorio y visualice


la cuenta en led’s, empleando un reloj con LM555.

b.2) Implemente el circuito propuesto y conecte adecuadamente un


generador de señales y un frecuencímetro, verifique su funcionamiento para
varias frecuencias.

b.3) Implemente el circuito resultante propuesto en laboratorio y visualice


la cuenta en display’s, empleando un reloj con LM555.

Página 4 de Laboratorio VII


c) APLICACIÓN DE CONTADORES

c.1) Implemente el circuito propuesto en el preinforme y visualice el proceso


mediante 7 leds para cada dado, empleando un reloj con LM555, tome en
cuenta que para que la frecuencia del reloj debe ser alta.

c.2) Implemente el circuito resultante propuesto en el preinforme y visualice la


cuenta regresiva en display’s, empleando un reloj con LM555 u otro conveniente
de tal forma que genere pulsos con una frecuencia de 1 Hz.

c.3) Implemente el circuito propuesto en el preinforme y verifique su


funcionamiento utilizando los circuitos integrados que mejor dispuso para su
correcto funcionamiento.

d) APORTE DEL ALUMNO.

Arme el circuito propuesto en laboratorio y verifique su funcionamiento según


el problema a ser resuelto.

6. INFORME FINAL.

Presentar los resultados obtenidos en laboratorio, con los respectivos análisis de


los datos experimentales obtenidos, interpretando cada uno de los
comportamientos físicos obtenidos, para cada una de las prácticas elaboradas
realizar las respectivas observaciones con respecto a lo aprendido y emitir
conclusiones de las mismas.

Tome en cuenta los objetivos de la práctica pues son muy importantes para la
elaboración final del informe.

 Emita Las conclusiones para cada punto de Laboratorio en forma


independiente.
 Realice una comparación entre la hoja simulada y el punto desarrollado en
laboratorio.
 En base a la comparación emita una conclusión completa y enúncielo en si
informe.

Este informe será el vivo reflejo de lo que el alumno haya ejecutado como trabajo
en laboratorio.

Página 5 de Laboratorio VII


9
Laboratorio

DISPOSITIVOS LÓGICOS
PROGRAMABLES Y
CONVERSORES D/A Y A/D
1. LISTA DE MATERIALES

 Circuitos integrados TTL como ser: 7400, 7402, 7404, 7408, 7410,
7411, 7432, 7486, 74125, 74125 etc.
 Circuitos integrados como ser: 74151, 74153, 7483, 7485, 7447, 7448,
74147, 74148, 74183.
 Memorias como ser UVEPROM 2716, RAM 6116, RAM 61256, RAM 2114,
etc.
 Circuitos Integrados DAC 0800, ADC0804, LM35, GAL 16V8, GAL20V8.
 Dip Switch de 18 canales mínimo.
 Lote de resistencias de protección de 10[K]. y de 330[]. (varias
unidades).
 Led`s de diversos colores.
 Varios displays de 7 segmentos ánodo y cátodo común.
 Proto board de 4 cuerpos mínimo.

2. LISTA DE INSTRUMENTOS A UTILIZAR

 Fuente de alimentación fija 5v o variable DC.


 Multímetro.

Página 1 de Laboratorio IX
3. OBJETIVOS DE LA PRÁCTICA A REALIZAR

OBJETIVO GENERAL
Implementar aplicaciones con dispositivos PLD y conversores
Análogo/Digital y viceversa.
OBJETIVOS ESPECIFICOS
Tenemos los siguientes por cada experimento:
a) Introducir al estudiante al análisis y verificación del funcionamiento
de los conversores digital/análogo y análogo digital para su
respectiva aplicación en sistemas digitales.
b) Verificar e implementar el funcionamiento de los dispositivos lógicos
programables, y aprehender la interacción de estos dispositivos con
el software de programación.
c) Aplicar los conocimientos adquiridos por el estudiante durante la
experimentación del presente laboratorio y plasmarlos en la
proposición de un ejemplo a desarrollar en laboratorio.

4. REALIZACIÓN DEL PRE - INFORME.

a) CONVERSOR A/D Y D/A

a.1) Utilizando el conversor A/D 0808 realice un sistema el cual pueda


medir datos de 8 fuentes lumínicas multiplexandolas con un sistema de
control automático, el sistema deberá emitir alguna alarma a partir de
una determinada intensidad lumínica.

a.2) Diseñe a partir de un Conversor A/D y un D/A un sistema de


intercomunicador de voz, defina la frecuencia de muestreo, y muestre
que los datos se estén transmitiendo y recibiendo. La comunicación
deberá ser bidireccional.

a.3) Diseñe con el conversor A/D 0808, un sistema medidor de temperatura


a señal BCD en display’s de 7 segmentos. Introduzca límites de
temperatura. Utilice un sensor LM35 para medir la temperatura, y
guíese con su hoja técnica para comparar los datos obtenidos de las
mediciones.

Página 2 de Laboratorio IX
b) DISPOSITIVOS LÓGICOS PROGRAMABLES

b.1) MULTIFUNCIONES*

Implemente en un PLD la siguiente multifunción:

F1  ( AC )  ( BD )  (( AB )  (CD ))
F2  4 (1, 2, 3, 4, 5, 8, 9, 10, 13, 15)
F3  4 (1,3,4,5,6,7,9,11,13,15)
F4  ABC  ACD  CD  ABCD  AB CD  BC D

b.2) CODIFICADOR/DECODIFICADOR*

1. Implemente en un PLD un decodificador de cualquier código diferente al


binario natural que muestre su nombre en un display de 7 o más
segmentos.

2. Implemente en un PLD un codificador de prioridad decimal (9 entradas) a


BCD, indique limitaciones y soluciones a las mismas.

b.3) CONTROL DE MOTOR PAP CON PLD*

Diseñar empleando una GAL un controlador para dos motores paso a


paso, que tenga las siguientes formas de funcionamiento:

 Motor A en Giro horario, Motor B en Giro anti horario.


 Motor B en Giro horario, Motor A en Giro anti horario.
 Ambos motores detenidos.

Diseñe el programa y el circuito adicional para su funcionamiento.

b.4) SISTEMAS SECUENCIALES*

1. Implemente con un PLD un contador que genere la serie de Fibonacci la


cuenta deberá ser desplegada en un display de 7 segmentos o matriz de
Leds, en números DECIMALES de hasta 2 dígitos. Considere usted un rango
coherente para la cantidad de números a desplegarse.

2. El siguiente diagrama de estados corresponde a un circuito secuencial


síncrono con un bit de entrada y un bit de salida.

Página 3 de Laboratorio IX
En base a este diagrama implemente en una GAL el sistema secuencial que
este representa.
c) APORTE DEL ALUMNO.

En base a los puntos del laboratorio, prepare un ejercicio e implemente en el


laboratorio, obteniendo los resultados que se planteó, explique claramente su
funcionamiento.

SIMULACIÓN

Para todos los puntos anteriores, utilice los programas de simulación, MULTISIM o
PROTEUS o QUARTUS II e implemente con dicha herramienta el Laboratorio.

Todos los puntos que tengan * se deben realizar el programa en VHDL y captura
esquemática donde corresponda y simularlo en QUARTUS II

5. REALIZACIÓN DE LABORATORIO.

Para la presentación de laboratorio el estudiante necesariamente debe disponer de


todos los puntos armados en protoboard antes del inicio de sesión de la práctica.

a) CONVERSOR A/D Y D/A

Página 4 de Laboratorio IX
a.1) Implemente el circuito propuesto en el preinforme y compruebe su
correcto funcionamiento, sea según el caso de requerimiento.

a.2) Implemente el circuito propuesto en el preinforme y compruebe su


correcto funcionamiento, utilice un selector para cambiar de funciones.

a.3) Implemente el circuito propuesto en el preinforme y compruebe su


correcto funcionamiento, utilice para el caso micrófonos analógicos de baja
impedancia, asimismo los transductores.

b) DISPOSITIVOS LÓGICOS PROGRAMABLES

b.1) MULTIFUNCIONES

Implemente el circuito resultante y verifique su tabla funcional uno por


uno.

b.2) CODIFICADOR/DECODIFICADOR

Implemente el circuito propuesto en el preinforme compruebe su correcto


funcionamiento según su tabla funcional.

b.3) CONTROL DE MOTOR PAP CON PLD

Implemente el circuito presentado en la guía para el funcionamiento de dos


motores Paso a Paso si requiere de la ayuda de circuitos adicionales como
ser buffers, etc, realice el diseño según su programa y las señales de
control mostradas en el esquema.
Aquí se les presenta un ejemplo del programa que puede ser usado por el
estudiante:

|GAL16V8
|in:(Izq1, Der1,Izq2,Der2,RESET), io:(BA[3..0], BB[3..0]), clock:CLK
|Map:BA[3..0] -> BA[3..0]
|{ 0 -> 1, RESET & Der1 & Izq1'
| 1 -> 4, RESET & Der1 & Izq1'
| 4 -> 2, RESET & Der1 & Izq1'
| 2 -> 8, RESET & Der1 & Izq1'
| 8 -> 1, RESET & Der1 & Izq1'
| 0 -> 1, RESET & Der1' & Izq1
| 8 -> 2, RESET & Der1' & Izq1
| 2 -> 4, RESET & Der1' & Izq1
| 4 -> 1, RESET & Der1' & Izq1
| 1 -> 8, RESET & Der1' & Izq1
| n -> n, RESET & (Der1==Izq1)}
|Map:BB[3..0] -> BB[3..0]
|{ 0 -> 1, RESET & Der2 & Izq2'

Página 5 de Laboratorio IX
| 1 -> 4, RESET & Der2 & Izq2'
| 4 -> 2, RESET & Der2 & Izq2'
| 2 -> 8, RESET & Der2 & Izq2'
| 8 -> 1, RESET & Der2 & Izq2'
| 0 -> 1, RESET & Der2' & Izq2
| 8 -> 2, RESET & Der2' & Izq2
| 2 -> 4, RESET & Der2' & Izq2
| 4 -> 1, RESET & Der2' & Izq2
| 1 -> 8, RESET & Der2' & Izq2
| n -> n, RESET & (Der2==Izq2)}
|Registers: CLK//BA[3..0],BB[3..0]

Analice y realice sus propias modificaciones.

b.4) SISTEMAS SECUENCIALES

Implemente los circuitos resultantes de ambos diseños según el preinforme


y verifique su funcionamiento.

c) APORTE DEL ALUMNO.

Arme el circuito propuesto en laboratorio y verifique su funcionamiento según


el problema a ser resuelto.

6. INFORME FINAL.

Presentar los resultados obtenidos en laboratorio, con los respectivos análisis de


los datos experimentales obtenidos, interpretando cada uno de los
comportamientos físicos obtenidos, para cada una de las prácticas elaboradas
realizar las respectivas observaciones con respecto a lo aprendido y emitir
conclusiones de las mismas.

Tome en cuenta los objetivos de la práctica pues son muy importantes para la
elaboración final del informe.

 Emita Las conclusiones para cada punto de Laboratorio en forma


independiente.
 Realice una comparación entre la hoja simulada en WinCUPL (programa) y
el punto desarrollado en laboratorio.
 En base a la comparación emita una conclusión completa y enúncielo en si
informe.

Este informe será el vivo reflejo de lo que el alumno haya ejecutado como trabajo
en laboratorio.

Página 6 de Laboratorio IX
10
Laboratorio

PROYECTO DE
IMPLEMENTACIÓN
Objetivo del experimento.
Implementar un proyecto utilizando los diferentes componentes desarrollados en la
materia.

Material.
CIs alinéales, PLD, FPGA

Laboratorio.

Diseñe un sistema digital que incluya los componentes analizados en la teoría.

REALIZACIÓN DEL PRE - INFORME.

1. Detalle los procedimientos a seguir para el laboratorio.


2. Explique los fundamentos teóricos y realice las deducciones de las ecuaciones para
el diseño.
3. Dibuje los circuitos resultantes.

SIMULACIÓN

Utilice un programa de simulación (WORKBENCH, MULTISIM, PROTEUS, etc.) e


implemente con dichas herramientas el Laboratorio. Además, se debe realizar el programa
en VHDL y captura esquemática donde corresponda y simularlo en ISE de XILINX,
Quartus II de ALTERA y VERYLOG.

6. INFORME FINAL.

Presentar los resultados obtenidos en laboratorio, con los respectivos análisis de los datos
experimentales obtenidos.

Página 1 de Laboratorio X

También podría gustarte