Está en la página 1de 38

to

t r otuCret yonorte
147

5. En tro dua M ion /Yaya


icro de ricat ionab

En Connecticut
5.1 Técnicas básicas de microfabricación .......... 148
En este capítulo, discutimos varios micro / nanofabricación técnicas
5.1.1 Litografía ................................. 148
utilizadas para fabricar estructuras en una amplia gama de
5.1.2 Deposición y dopaje de película fina ... 149
dimensiones (mm – nm). Empezando por algunas de las técnicas de
5.1.3 Grabado y eliminación de sustrato ...... 153
microfabricación más habituales (litografía,
5.1.4 Adhesión del sustrato ........................ 157

deposición y grabado), presentamos una serie de micromecanizado y MEMS5.2 Técnicas de fabricación de MEMS ................ 159
tecnologías que se pueden utilizar para fabricar microestructuras 5.2.1 Micromecanizado a granel .................... 159
hasta ∼ 1 µm. Estas técnicas han alcanzado un nivel adecuado de 5.2.2 Micromecanizado de superficie ................ 163
5.2.3 Micromecanizado de alta relación de aspecto 166
madurez para permitir una variedad de MEMS -productos comerciales
basados en sensores de presión, acelerómetros, giroscopios, etc.). 5.3 Técnicas de nanofabricación .................. 170
Más recientemente, las estructuras de tamaño nanométrico han 5.3.1 Viga E
atraído un enorme interés. Esto se debe principalmente a sus y fabricación de nanoimpresiones ....... 171
propiedades eléctricas, magnéticas, ópticas, térmicas y mecánicas 5.3.2 Ingeniería de la deformación y la epitaxia ... 172
únicas. Estos podrían conducir a una variedad de dispositivos 5.3.3 Técnicas de sonda escaneada ............ 173
electrónicos, fotónicos y de detección con un rendimiento superior en 5.3.4 Autoensamblaje
comparación con sus contrapartes macro. Posterior a nuestra y fabricación de plantillas ......... 176
discusión sobre MEMS y micromecanizado, presentamos varios Referencias .................................................. 180
importantes nanofabricación

Parte A 5
técnicas actualmente bajo intensa investigación. Aunque las técnicas potencialmente superiores como ingeniería de
litografías e-beam y otras litografías de alta resolución se pueden usar deformación, autoensamblaje, y nanoimpresión
para fabricar estructuras de tamaño nanométrico, su naturaleza en litografía. Entre estos, el autoensamblaje es el método más
serie y / o su costo impiden su aplicación generalizada. Esto ha prometedor, debido a su bajo costo y la capacidad de producir
obligado a los investigadores a explorar alternativas y nanoestructuras en diferentes escalas de longitud.

Innovaciones recientes en el área de micro / nanofabricación rango de micrómetro. Nos centraremos principalmente en las técnicas más
han creado una oportunidad única para la fabricación de estructuras en importantes y ampliamente utilizadas y no discutiremos métodos
el rango nanométrico-milimétrico. Los seis órdenes de magnitud especializados. Después de una breve introducción a la microfabricación
disponibles se pueden utilizar para fabricar nuevos dispositivos básica, discutiremos MEMS -
electrónicos, ópticos, magnéticos, mecánicos y químicos / biológicos técnicas de fabricación utilizadas para construir microestructuras hasta
con aplicaciones que van desde sensores hasta computación y control. aproximadamente 1 µ m en dimensiones. A continuación, analizaremos varios
En este capítulo, presentaremos las principales técnicas de micro / métodos principales de nanofabricación de arriba hacia abajo y de abajo hacia
nanofabricación que se utilizan actualmente para fabricar estructuras arriba que han demostrado ser muy prometedores en la fabricación. nanoestructuras
en el nanómetro a varios cientos dimensiones
< 1 µ metro).
148 Parte A Nanoestructuras, micro / nanofabricación y micro / nanodispositivos

5.1 Técnicas básicas de microfabricación

La mayoría de las técnicas de micro / nanofabricación tienen sus raíces en material sobre el sustrato, el proceso de fotolitografía comienza con el
los métodos de fabricación estándar desarrollados para la industria de los revestimiento por rotación del sustrato con un fotorresistente. Este es un
semiconductores [5. 1 - 3 ]. Por lo tanto, una comprensión clara de estas material fotosensible polimérico que se puede hilar sobre la oblea en forma
técnicas es necesaria para cualquier persona que se embarque en un camino líquida (generalmente se usa un promotor de adhesión como
de investigación y desarrollo en el área micro / nano. En esta sección, hexametildisilazano HMDS antes de la aplicación de la capa protectora). La
discutiremos las principales técnicas de microfabricación utilizadas con mayor velocidad de giro y la viscosidad de la fotorresistencia determinarán el
frecuencia en la fabricación de micro / nanoestructuras. Algunas de estas espesor final de la capa protectora, que suele estar entre 0,5 y 2,5. µ metro.
técnicas, como la deposición y el grabado de películas finas, son comunes Hay dos tipos diferentes de fotorresistente disponibles: positivo y negativo.
entre los micro / nano y En la capa protectora positiva, las áreas expuestas a los rayos UV se
disolverán en la etapa de revelado posterior, mientras que en la capa
VLSI disciplinas de fabricación de microchips. Sin embargo, en esta protectora negativa, las áreas expuestas permanecerán intactas después
sección también se discutirán otras técnicas que son más específicas del revelado. Debido a su mejor desempeño con respecto al control de
del área de micro / nanofabricación. proceso en geometrías pequeñas, el resist positivo es el fotorresistente más
utilizado en el VLSI Procesos. Af-

5.1.1 Litografía
ter girando la fotorresistencia en el ◦ oblea, el sustrato se hornea
La litografía es la técnica utilizada para transferir un patrón generado por suavemente (5–30 min a 60–100 C) para eliminar
computadora sobre un sustrato (silicio, vidrio, GaAs, etc.). Este patrón se los solventes de la resistencia y mejoran la adherencia. Posteriormente,
utiliza posteriormente para grabar una película delgada subyacente (óxido, la máscara se alinea con la oblea y el fotorresistente se expone a una
nitruro, etc.) para diversos fines (dopaje, grabado, etc.). Aunque la fuente de UV.
fotolitografía, es decir, la litografía que utiliza una fuente de luz ultravioleta, Dependiendo de la separación entre la máscara y la oblea, se encuentran
es con mucho la técnica de litografía más utilizada en la fabricación disponibles tres sistemas de exposición diferentes:
Parte A 5.1

microelectrónica, la litografía por haz de electrones (e-beam) y por rayos X 1) contacto, 2) proximidad y 3) proyección. Aunque la impresión por contacto
son otras dos alternativas que han atraído una atención considerable en el ofrece una mejor resolución en comparación con la técnica de proximidad, el
mundo. MEMS y áreas de nanofabricación. Discutiremos la fotolitografía en contacto constante de la máscara con el fotorresistente reduce el rendimiento
esta sección y pospondremos la discusión de las técnicas de rayos-e y del proceso y puede dañar la máscara. La impresión por proyección utiliza un
rayos X a las secciones siguientes que tratan sobre MEMS sistema óptico de doble lente para proyectar la imagen de la máscara en la
oblea. Dado que solo se puede exponer un dado a la vez, esto requiere un
sistema de paso y repetición para cubrir totalmente el área de la oblea. La
y nanofabricación. impresión por proyección es, con mucho, el sistema más utilizado en
El punto de partida que sigue a la creación del diseño informático para una microfabricación y puede producir resoluciones superiores en comparación con
secuencia de fabricación específica es la generación de una fotomáscara. Esto los métodos de contacto y proximidad. La fuente de exposición para la
implica una secuencia de procesos fotográficos (utilizando patrones ópticos o de fotolitografía depende de la resolución. Por encima de 0,25 µ m ancho mínimo
haz electrónico de línea, la lámpara de mercurio de alta presión es adecuada (436 nm línea g y
generadores) que resulta en un gl ∼ plato de culo que tiene el deseado 365 nm línea). Sin embargo, entre 0,25 y 0,13 µ m, se requieren fuentes
patrón en forma de una fina capa de cromo (100 nm). Después de la ultravioleta profundas como láseres excimer (248 nm KrF y 193 nm ArF).
generación de la fotomáscara, el proceso de litografía puede continuar como Aunque ha habido una gran competencia por los siguientes 0.13 µ régimen m
se muestra en la Fig. 5.1 . Esta secuencia demuestra la transferencia del (incluidos rayos-e y rayos X), ultravioleta extrema (EUV) con
patrón sobre un sustrato recubierto con dióxido de silicio. Sin embargo, la
misma técnica es aplicable a otros materiales. Después de depositar el
deseado

Girar Alinear
Silicio Depositar película fina Suave Exponer Desarrollar Difícil Final de
foto- los
sustrato (Óxido, nitruro, etc.) hornear la oblea la resistencia hornear litografía
resistir máscara

Figura 5.1 Flujo del proceso de litografía


Introducción a la micro / nanofabricación 5.1 Técnicas básicas de microfabricación 149

una longitud de onda de 10-14 nm parece ser la técnica preferida [5. 4 Las micro / nanoestructuras contenidas contienen materiales distintos del
]. sustrato, que se obtienen mediante diversas técnicas de deposición o
Después de la exposición, el fotorresistente se revela en un mediante modi fi cación del sustrato. La siguiente es una lista de algunas
proceso similar al revelado de una película fotográfica. aplicaciones típicas para los materiales depositados y / o dopados
Posteriormente, el resist se cuece duro (20-30 min a 120-180 ◦ C) para utilizados en micro / nanofabricación que da una idea de los requisitos
mejorar aún más la adherencia.
El paso de horneado duro concluye la secuencia de fotolitografía creando el pag • propiedades:
patrón deseado en la oblea. A continuación, se graba la película delgada
Estructura mecanica
subyacente y se elimina el fotorresistente en acetona u otros disolventes
• Aislamiento electrico
orgánicos de eliminación. Figura 5.2 muestra un dibujo esquemático de los
• Conexión eléctrica
pasos de fotolitografía con un fotorresistente positivo.
• Detectando o actuando
• Máscara para grabado y dopaje
• Soporte o molde durante la deposición de otros materiales.
5.1.2 Deposición y dopaje de película fina
(materiales sacri fi ciales)
• Pasivación
La deposición de película fina y el dopado se utilizan ampliamente en tecnologías de
micro / nanofabricación. La mayor parte de la fabri- La mayoría de las películas delgadas depositadas tienen propiedades
diferentes a las de sus correspondientes formas “a granel” (por ejemplo, los
metales muestran resistividades más altas como películas delgadas).
Además, las técnicas utilizadas para depositar estos materiales tienen un
SiO 2 gran impacto en sus propiedades finales. Por ejemplo, la tensión interna (de
una) Oxida el sustrato compresión o tracción) en una película depende en gran medida del proceso.
Sustrato
La tensión excesiva puede agrietar o desprender la película del sustrato y,
por lo tanto, debe minimizarse, aunque también puede ser útil para ciertas
PR
aplicaciones. La adhesión es otro tema importante que debe tenerse en

Parte A 5.1
segundo) Gira la fotorresistencia
cuenta al depositar películas finas. En algunos casos, como la deposición de
Sustrato y hornear suave metales nobles (p. Ej., Oro), puede ser necesaria una capa intermedia (cromo
o titanio) para mejorar la adhesión. Finalmente, la cobertura escalonada y la
Ligero
conformidad son dos propiedades que también pueden influir en la elección
de la técnica de deposición. Figura 5.3 ilustra estos conceptos.

+++++++++
Fotomáscara

C) Exponer la fotorresistencia
Sustrato

una) segundo)

1
1 1 re) Desarrollar la fotorresistencia
Sustrato
y hornear duro

1 1

C) re)
' mi) Grabar el óxido
Sustrato
1 1

F) Quita el fotorresistente
Sustrato
1 1

Fig. 5.3a – d Cobertura y conformidad de pasos: ( una) pobre paso cov-

Figura 5.2 Dibujo esquemático de los pasos fotolitográficos erage, ( segundo) buena cobertura de pasos, ( C) capa no conforme, y con una fotorresistencia positiva (PR)
1

( re) capa conforme


150 Parte A Nanoestructuras, micro / nanofabricación y micro / nanodispositivos

Oxidación el horno cuando los sustratos han estado previamente en contacto con ciertos
La oxidación del silicio es un proceso utilizado para obtener una película delgada agentes decapantes como el KOH, o cuando se han depositado materiales como
de SiO 2 de excelente calidad (muy baja densidad de defectos) y los metales también presentan limitaciones en la mayoría de los casos.
homogeneidad de espesores. Aunque no es propiamente
una deposición, el resultado es el mismo, es decir, se produce una fina capa de
un nuevo material que cubre la superficie. El proceso de oxidación se lleva a Dopaje
cabo típicamente a temperaturas La introducción de ciertas impurezas en un semiconductor puede
en el rango de 900 ◦ C hasta 1200 ◦ C en presencia de O 2 cambiar sus propiedades eléctricas, químicas e incluso mecánicas.
(oxidación seca) o H 2 O (oxidación húmeda). Las reacciones para la formación Impurezas típicas, o dopantes,
de óxido son: utilizados en el silicio incluyen boro (para formar regiones de tipo p) y fósforo
o arsénico (para formar regiones de tipo n). El dopaje es el proceso principal
Si( sólido) + O 2 (gas) ⇒ SiO 2 (sólido)
que se utiliza en la industria microelectrónica para fabricar componentes
y importantes como diodos y transistores. En las tecnologías de micro /
nanofabricación, el dopaje tiene aplicaciones adicionales como la formación
Si( sólido) + 2H 2 O ( vapor) ⇒ SiO 2 (sólido) + 2H 2 (gas).
de piezorresistores para transductores mecánicos y la creación de capas de
parada de grabado. Se utilizan dos técnicas diferentes para introducir las
Aunque la tasa de crecimiento del óxido es mayor para la oxidación en impurezas en un sustrato semiconductor: difusión e implantación de iones.
húmedo, se logra a expensas de una menor calidad del óxido (densidad). Dado
que los átomos de silicio del sustrato participan en la reacción, el sustrato se
consume a medida que crece el óxido ( ∼ 44% del espesor total se encuentra La difusión es el proceso que se volvió dominante en los años iniciales
por encima de la línea de la superficie de silicio original). La oxidación del después de la invención del circuito integrado para formar regiones de tipo
silicio también ocurre a temperatura ambiente, sin embargo, una capa de ny p en el silicio. La difusión de impurezas en el silicio ocurre solo a altas
aproximadamente 20 Å (óxido nativo) es suficiente para pasivar la superficie y temperaturas (por encima de 800 ◦ C). Los hornos utilizados para realizar
evitar una mayor oxidación. Para desarrollar óxidos más espesos, las obleas este proceso son similares a los de oxidación. Los dopantes se introducen
se introducen en un horno de resistencia eléctrica como el representado en la en la atmósfera gaseosa del horno a partir de fuentes líquidas o sólidas.
Parte A 5.1

Fig. 5.4 . Se pueden procesar decenas de obleas en un solo lote en dicho Figura 5.5 ilustra el proceso de creación de una región de tipo n mediante la
equipo. Al controlar estrictamente el tiempo, la temperatura y el flujo de gas difusión de fósforo desde la superficie hacia un sustrato de tipo p.
que ingresa al tubo de cuarzo, se puede lograr el espesor deseado con una Previamente se deposita un material de enmascaramiento y se modela
alta precisión. Espesores que van desde unas pocas decenas de Angstroms sobre la superficie para de fi nir las áreas a dopar. Sin embargo, debido a
hasta 2 µ m se puede obtener en tiempos razonables. A pesar de la buena que la difusión es un proceso isotrópico, el área dopada también se
calidad extenderá por debajo de la máscara. En la microfabricación, la difusión se
utiliza principalmente para la formación de regiones de boro muy dopadas (p
++), que normalmente se utilizan como tope de grabado a granel. micromecanizado.
del SiO 2 obtenido por oxidación de silicio (también llamado
óxido térmico), el uso de este proceso es a menudo limitado
a las primeras etapas de fabricación, ya que algunos de los materiales
agregados durante la formación de las estructuras pueden no soportar las La implantación de iones permite un control más preciso de la dosis (cantidad

altas temperaturas. La contaminación de total de impurezas introducidas por unidad de superficie)

Capa de enmascaramiento Que contiene gas dopante


O2o H2 O Calentador de resistencia compuestos de fósforo
+
Gas portador

Cuarzo Obleas
casete
tipo n

Tubo de cuarzo tipo p

Figura 5.5 Formación de una región de tipo n sobre un sustrato de silicio de tipo

Figura 5.4 Representación esquemática de un horno de oxidación típico p por difusión de fósforo
Introducción a la micro / nanofabricación 5.1 Técnicas básicas de microfabricación 151

y el perfil de impurezas (concentración versus profundidad). En la polisilicio. La tensión en las capas de nitruro se puede reducir a casi cero
implantación de iones, las impurezas se ionizan y aceleran hacia la utilizando una composición rica en silicio. Dado que los valores de tensión
superficie del semiconductor. La penetración de impurezas en el material pueden variar en un amplio rango, uno tiene que medir y caracterizar la tensión
sigue una distribución gaussiana. Después de la implantación, se necesita interna de las películas delgadas depositadas para cualquier equipo específico y
un proceso de recocido para activar las impurezas y reparar el daño en la condiciones de deposición.
estructura cristalina producido por las colisiones de iones. UNA conducir en También
puede ser necesario un proceso para redistribuir las impurezas realizado en los PECVD El proceso se realiza en sistemas de plasma como el
un horno estándar como los que se utilizan para la oxidación o difusión. representado en la Fig. 5.6 . El uso de RF La energía para crear especies
altamente reactivas en el plasma permite el uso de temperaturas más bajas
en los sustratos (150 a 350 ◦ C). Los reactores de plasma de placas
paralelas normalmente utilizados en la microfabricación solo pueden
Deposición química de vapor y epitaxia procesar un número limitado de obleas por lote. Las obleas se colocan
Como sugiere su nombre, la deposición química de vapor ( CVD ) incluye horizontalmente sobre el electrodo inferior, por lo que solo se deposita un
todas las técnicas de deposición que utilizan la reacción de productos lado. Materiales típicos depositados con PECVD incluyen óxido de silicio,
químicos en fase gaseosa para formar la película delgada depositada. La nitruro y silicio amorfo. La conformalidad es buena para estructuras de baja
energía necesaria para que se produzca la reacción química suele relación de aspecto, pero se vuelve muy pobre para zanjas profundas (20%
suministrarse manteniendo el sustrato a temperaturas elevadas. También del espesor de la superficie dentro de los orificios de oblea pasante con una
se utilizan otras fuentes de energía alternativas como el plasma o la relación de aspecto de diez). La tensión depende de los parámetros de
excitación óptica, con la ventaja de requerir una temperatura más baja en el deposición y puede ser de compresión o tracción. PECVD los nitruros son
sustrato. Los más comunes CVD Los procesos de microfabricación son LPCVD típicamente
(baja presión CVD ) y

PECVD (plasma mejorado CVD ). los LPCVD El proceso se lleva a cabo no estequiométrico (Si X norte y) y son mucho menos resistentes a los agentes de

típicamente en tubos calentados eléctricamente, similar a los tubos de grabado en aplicaciones de enmascaramiento.

oxidación, equipados con capacidades de bombeo para lograr las bajas Otro tipo interesante de CVD es el crecimiento epitaxial. En este

Parte A 5.1
presiones necesarias (0,1 a 1,0 torr). Se puede procesar una gran proceso, se hace crecer un material monocristalino como una extensión de la
cantidad de obleas simultáneamente y el material se deposita en ambos estructura cristalina del sustrato. Es posible hacer crecer materiales diferentes
lados de las obleas. Las temperaturas del proceso dependen del material si las estructuras cristalinas son de alguna manera similares (emparejadas en
a depositar, pero generalmente están en el rango de 550 a 900 ◦ C.Al igual celosía). Los sustratos de silicio sobre zafiro (SOS) y algunas
que en la oxidación, las altas temperaturas y los problemas de heteroestructuras se fabrican de esta manera. Sin embargo, la mayora
contaminación pueden restringir el tipo de procesos utilizados antes de la LPCVD
. Materiales típicos depositados

Fuente de RF
por LPCVD incluir óxido de silicio (p. ej., SiCl 2 H 2 +
2N 2 O ⇒ SiO 2 + 2N 2 + 2HCl a 900 ◦ C), nitruro de silicio (p. Ej., 3SiH 4 + 4NH
3⇒ Si 3 norte 4 + 12H 2 en 700–900 ◦ C),
y polisilicio (por ejemplo, SiH 4 ⇒ Si + 2H 2 en 600 ◦ C). Debido a su velocidad de
grabado más rápida en HF , in situ dopado con fósforo Obleas
LPCVD óxido (vidrio de fosfosilicato o PSG ) se utiliza ampliamente como capa
sacri fi cial en el micromaquinado de superficies. La conformidad en este proceso
es excelente, incluso para estructuras con una relación de aspecto muy alta.
Propiedades mecánicas de LPCVD Los materiales son buenos en comparación con Resistencia
otros como PECVD y LPCVD materiales que se utilizan a menudo como materiales calentador

estructurales en dispositivos microfabricados. La tensión en las capas depositadas


depende del material, las condiciones de deposición y el historial térmico posterior
(por ejemplo, recocido posterior a la deposición). Los valores típicos son:
100–300MPa
A la bomba de vacío Gas en

(compresivo) para óxido, ∼ 1GPa (tracción) para estoi- Figura 5.6 Representación esquemática de un típico PECVD
nitruro chiométrico, y ∼ 200–300MPa (tracción) para sistema
152 Parte A Nanoestructuras, micro / nanofabricación y micro / nanodispositivos

común en la microfabricación es el crecimiento de silicio sobre otro


sustrato de silicio. De particular interés para la formación de
microestructuras es el crecimiento epitaxial selectivo. En este proceso, se
permite que el cristal de silicio crezca solo en ventanas modeladas sobre
material de enmascaramiento. Muchos CVD Se han utilizado técnicas para
producir crecimiento epitaxial. El más común para el silicio es la
deposición de vapor químico térmico o Epi fase de vapor
Evaporado
Electrón
material
taxy VPE). Deposición de vapor químico metalorgánico haz
( MOCVD ) y epitaxia de haz molecular MBE) son los más comunes para el
Doblado
crecimiento de capas de compuestos III-V de alta calidad con interfaces magnético
abruptas casi atómicas. El primero utiliza vapores de compuestos orgánicos campo

con átomos del grupo III.


Vacío
como trimetilgalio [Ga (CH 3) 3] y grupo V hy-
cámara
drides como AsH 3 en un CVD cámara con capacidad de cambio rápido
de gas. Este último suele utilizar moléculas
haces lar de fuentes elementales evaporadas térmicamente Figura 5.7 Representación esquemática de un diseño de haz de electrones
apuntando al sustrato en una cámara de ultra alto vacío. En este caso, el sistema de posicionamiento

control rápido de encendido / apagado de los rayos se logra mediante el uso de


persianas delante de las fuentes. Finalmente, cabe mencionar que muchos
metales (molibdeno, tantalio, titanio y tungsteno) también pueden depositarse
utilizando
LPCVD . Estos son atractivos por sus bajas resistividades y su capacidad
para formar siliciuros con silicio. Debido a su aplicación en las nuevas
tecnologías de interconexión, el cobre
Parte A 5.1

CVD es un área activa de investigación.

Deposición física de vapor


(Evaporación y pulverización)
En los sistemas de deposición física, el material a
postulado es transportado desde una fuente a las obleas, tanto Figura 5.8 Efectos de sombra observados en películas evaporadas. Arkansas-

estar en la misma cámara. Para ello se utilizan dos principios físicos: filas mostrar la trayectoria de los átomos materiales siendo

evaporación y pulverización catódica. depositado

En la evaporación, la fuente se coloca en un recipiente pequeño con


paredes ahusadas, llamado crisol, y se calienta hasta una temperatura a la En la pulverización catódica, un objetivo del material a
que ocurre la evaporación. Se utilizan diversas técnicas para alcanzar las altas postulado es bombardeado con iones inertes de alta energía
temperaturas necesarias, incluida la inducción de altas corrientes con bobinas (generalmente argón). El resultado del bombardeo es que los átomos o
enrolladas alrededor del crisol y el bombardeo de la superficie del material con grupos individuales se eliminan de la superficie y se expulsan hacia la
un haz de electrones (evaporadores de haz electrónico). Este proceso se oblea. La naturaleza física de este proceso permite su uso con
utiliza principalmente para depositar metales, aunque los dieléctricos también prácticamente cualquier material existente. Ejemplos de materiales
pueden evaporarse. En un sistema típico, el crisol está ubicado en el fondo de interesantes para la microfabricación que se pulverizan con frecuencia
una cámara de vacío, mientras que las obleas se colocan alineando el techo incluyen metales, dieléctricos, aleaciones (como aleaciones con memoria
en forma de cúpula de la cámara, Fig. 5.7 . La característica principal de este de forma) y todo tipo de compuestos (por ejemplo, piezoeléctricos PZT ).
proceso es una cobertura de pasos muy deficiente, incluidos los efectos de Los iones inertes que bombardean el objetivo se producen en DC o RF plasma.
sombra, como se ilustra en la Fig. 5.8 . Como se explicará en secciones En un sistema simple de placas paralelas, el electrodo superior es el
posteriores, algunas técnicas de microfabricación utilizan estos efectos para objetivo y las obleas se colocan horizontalmente sobre el electrodo
modelar la capa depositada. Una forma de mejorar la cobertura del escalón es inferior. A pesar de su menor tasa de deposición, la cobertura escalonada
girando y / o calentando las obleas durante la deposición. en la pulverización catódica es mucho mejor que en la evaporación. Sin
embargo, las películas obtenidas
Introducción a la micro / nanofabricación 5.1 Técnicas básicas de microfabricación 153

tivo y preferiblemente del mismo material que el depositado si se desea una


buena adherencia. Para electrodepositar metales sobre un aislante (el caso
más común) se deposita previamente en la superficie una fina película del
mismo metal, denominada capa semilla. El enmascaramiento de la capa de
semillas con una capa protectora permite la galvanoplastia selectiva en las
áreas estampadas. Figura 5.10 ilustra una secuencia típica de los pasos
necesarios para obtener estructuras metálicas aisladas.

5.1.3 Grabado y eliminación de sustrato

Figura 5.9 Evolución típica de la sección transversal de una zanja, mientras que el grabado con película fina y sustrato a granel es otra fabricación que se llena con deposición

catódica paso que es de fundamental importancia para ambos VLSI


procesos y micro / nanofabricación. En el VLSI En el área, varias
películas delgadas conductoras y dieléctricas depositadas con fines de
con este proceso de deposición no son conformes. Figura 5.9 ilustra pasivación o enmascaramiento deben eliminarse en algún momento u
sucesivos perfiles de pulverización catódica en una zanja. otro. En la micro / nanofabricación, además del fino acabado, muy a
menudo es necesario eliminar el sustrato (silicio, vidrio, GaAs, etc.) para
Tanto los sistemas de evaporación como de pulverización catódica son a crear diversas micro / nanoestructuras mecánicas (vigas, placas, etc.).
menudo capaces de depositar más de un material de forma simultánea o Dos cifras importantes de mérito para cualquier proceso de grabado son
secuencial. Esta capacidad es muy útil para obtener aleaciones y multicapas la selectividad y la direccionalidad. La selectividad es el grado en que el
(por ejemplo, los cabezales de grabación magnéticos multicapa se pulverizan). grabador puede diferenciar entre la capa de enmascaramiento y la capa
Para ciertos metales de baja reactividad como Au y Pt, es necesaria la a ser
deposición previa de una fina capa de otro metal para mejorar la adherencia. El

Parte A 5.1
Ti y el Cr son dos promotores de adhesión de uso frecuente. La tensión en las
capas evaporadas o pulverizadas es típicamente de tracción. Las tasas de
deposición son mucho más altas que la mayoría CVD Técnicas Sin embargo,
una) Capa de semillas
debido a la acumulación de esfuerzos y al agrietamiento, un espesor superior a
2 µ m rara vez se deposita con estos procesos. Para deposiciones más gruesas,
a veces se usa una técnica descrita en la siguiente sección. Sustrato

segundo) Fotorresistencia

Galvanoplastia
La galvanoplastia (o electrodeposición) es un proceso que se utiliza
normalmente para obtener estructuras metálicas gruesas (decenas de C)

micrómetros). La muestra a galvanizar se introduce en una solución que


contiene una forma reducible del ión del metal deseado y se mantiene a un
potencial negativo (cátodo) con respecto a un contraelectrodo (ánodo). Los
iones se reducen en la superficie de la muestra y los átomos metálicos
insolubles se incorporan a la superficie. A modo de ejemplo, la
electrodeposición de cobre se realiza con frecuencia en soluciones a base de re)

sulfuro de cobre. La reacción que está teniendo lugar

en la superficie es Cu 2 ++ 2e - → Cu ( s). Las densidades de corriente recomendadas para los procesos de electrodeposición están en Figura 5.10a – d Formación de
estructuras metálicas aisladas por
el orden de 5 a 100mA / cm 2. galvanoplastia a través de una máscara: ( una) deposición de la capa de semillas,

Como se puede deducir del mecanismo del proceso, la ( segundo) hilado y modelado fotorresistente, ( C) galvanoplastia,
La superficie a galvanizar debe ser eléctricamente conductora. ( re) fotorresistente y decapado de la capa de semillas
154 Parte A Nanoestructuras, micro / nanofabricación y micro / nanodispositivos

grabado. La direccionalidad tiene que ver con el perfil de grabado debajo de la MEMS disciplina. Grabado isotrópico de silicio usando
máscara. En un grabado isotrópico, el grabador ataca el material en todas las HF / HNO 3 / CH 3 COOH (se han utilizado varias formulaciones diferentes) se
direcciones a la misma velocidad, creando un perfil semicircular debajo de la remonta a la década de 1950 y todavía es frecuente
máscara, Fig. 5.11 a. En un grabado anisotrópico, la velocidad de disolución depende utilizado posteriormente para adelgazar la oblea de silicio. Se ha dilucidado el
de direcciones específicas y se pueden obtener paredes laterales rectas u otros mecanismo de grabado para esta combinación.
perfiles no circulares, Fig. 5.11 segundo. También se pueden dividir las diversas y es como sigue: HNO 3 se utiliza para oxidar el silicio, que
técnicas de grabado en categorías húmedas y secas. En este capítulo, usaremos posteriormente se disuelve en el HF .
esta clasificación y discutiremos diferentes grabadores en húmedo, seguidos de las El ácido acético se utiliza para prevenir la disociación de
técnicas de grabado en seco que se utilizan con mayor frecuencia en micro / HNO 3 ( el grabado funciona también sin el ácido acético). Para tiempos de grabado
nanofabricación. cortos, el dióxido de silicio se puede utilizar como
el material de enmascaramiento Sin embargo, es necesario utilizar nitruro de
silicio si se desea un tiempo de grabado más largo. Este grabado también
Aguafuerte húmeda muestra una selectividad de dopante con la tasa de grabado disminuyendo a
Históricamente, las técnicas de grabado en húmedo precedieron a las secas. concentraciones de dopaje más bajas (<10 17 cm - 3 ni tipo p). Aunque este efecto
Estos todavía constituyen un grupo importante de grabadores para micro / se puede utilizar potencialmente como un mecanismo de parada de grabado para
nanofabricación, a pesar de su aplicación menos frecuente en el VLSI Los fabricar microestructuras, la dificultad de enmascarar ha impedido una aplicación
grabadores húmedos son en general isotrópicos y muestran una selectividad generalizada de este enfoque. Lata de vidrio
superior a la capa de enmascaramiento en comparación con varias técnicas
secas. Además, debido al corte lateral, la característica mínima que se puede también ser grabado isotrópicamente usando el HF / HNO 3 combinación con las
lograr con los agentes de grabado húmedos se limita a> 3 µ metro. El dióxido de superficies de grabado que muestran una considerable
silicio se graba comúnmente en un diluido (6: 1, 10: 1 o 20: 1 por volumen) o aspereza. Esto se ha utilizado ampliamente en la fabricación de componentes

tamponado HF (BHF, microfluídicos (principalmente canales). Aunque normalmente se utiliza Cr / Au como

capa de enmascaramiento, los tiempos de grabado prolongados requieren una máscara

HF + NH 4 F) soluciones (tasa de grabado de ∼ 1.000Å / min en BHF). El más robusta (se ha utilizado silicona ligada para este propósito).

fotorresistente y el nitruro de silicio son los dos más


Parte A 5.1

materiales de enmascaramiento comunes para el grabado con óxido húmedo. El El grabado en húmedo anisotrópico de silicio constituye una técnica
grabador húmedo para nitruro de silicio está caliente (140-200 ◦ C) ácido fosfórico con importante en el micromaquinado a granel. Los tres grabadores de silicio
óxido de silicio como material de enmascaramiento. El grabado en húmedo con nitruro más importantes en esta categoría son hidróxido de potasio (KOH),
no es muy común (a excepción del grabado en manta), debido a la dificultad de etilendiamina pirocatecol ( EDP ), e hidróxido de tetrametilamonio ( TMAH ).
enmascaramiento y las tasas de grabado irrepetibles. Los metales se pueden grabar Todos estos son grabadores anisotrópicos que atacan el silicio a lo largo de
usando varias combinaciones de ácidos y soluciones básicas. También hay muchas direcciones cristalográficas preferidas. Además, todos ellos muestran una
formulaciones de grabado disponibles comercialmente para aluminio, cromo y oro que marcada reducción en la tasa de grabado en pacientes fuertemente dopados
se pueden usar fácilmente. Se puede encontrar una tabla completa de varios (> 5 × 10 19 cm - 3) regiones de boro (p ++). La química detrás de la acción de
grabadores de metales en [5. 5 ]. estos agentes de grabado aún no está muy clara, pero parece que la
oxidación del átomo de silicio en la superficie y su reacción con iones
hidroxilo (OH -) son responsables de la formación de un soluble
El grabado en húmedo anisotrópico e isotrópico de sustratos
cristalinos (silicio y arseniuro de galio) y no cristalinos (vidrio) son
temas importantes en la micro / nanofabricación [5. 6 - 10 ]. En complejo de silicio (SiO 2 ( OH) 2 -). La velocidad de grabado depende de la
particular, la realización de la posibilidad de un grabado anisotrópico concentración y la temperatura y generalmente es
húmedo de silicio se considera el comienzo del micromecanizado y alrededor de 1 µ m / min a temperaturas de 85-115 ◦ C. Los materiales de
enmascaramiento comunes para los grabadores húmedos anisotrópicos son el
dióxido de silicio y el nitruro, siendo este último superior para tiempos de grabado
más largos. El plano cristalográfico que muestra la velocidad de grabado más
lenta es el plano (111). Aunque se ha especulado que la concentración atómica
PR PR PR PR
más baja a lo largo de estos planos es la razón de este fenómeno, la evidencia
no es concluyente y deben incluirse otros factores para explicar esta notable
Silicio Silicio
propiedad de parada de grabado.

Fig. 5.11a, b Perfil para isotrópicos ( una) y anisotrópico ( segundo) grabado El comportamiento anisotrópico de estos grabadores con respecto a través de una máscara fotorresistente

(PR) a los (111) planos se han utilizado ampliamente para crear


Introducción a la micro / nanofabricación 5.1 Técnicas básicas de microfabricación 155

un grabador anisotrópico particular. Entre los tres grabadores mencionados TMAH


una) (100)
es el más benigno, mientras que EDP
(111) es extremadamente corrosivo y cancerígeno. El silicio se puede
54,7 ° disolver en TMAH para mejorar su selectividad con respecto al
Silicio aluminio. Esta propiedad ha hecho
TMAH muy atractivo para el micro mecanizado post-CMOS para el que hay
que proteger las líneas de aluminio. Finalmente, debe mencionarse que se
segundo) (100)
puede modular la velocidad de grabado utilizando una unión p – n polarizada
(111) inversamente (parada de grabado electroquímico). Figura 5.14 muestra la
configuración que se usa comúnmente para realizar el grabado
electroquímico. La oblea de silicio bajo ataque consiste en una región n-epi
Silicio
sobre un sustrato de tipo p. Tras la aplicación de un voltaje de polarización
inversa a la estructura (el sustrato p está en contacto con la solución y el
Figura 5.12a, b Perfiles de grabado anisotrópico para: ( una) ( 100) y n-epi está protegido con un dispositivo hermético), el sustrato p se ataca.
( segundo) ( 110) obleas de silicio Cuando las regiones n-epi se exponen a la solución, se forma una capa de
pasivación de óxido y se detiene el grabado. Esta técnica se puede utilizar
vigas, membranas y otros componentes mecánicos y estructurales. Figura 5.12 para fabricar membranas de silicio monocristalino para sensores de presión y
muestra las secciones transversales típicas de obleas de silicio (100) y (110) otros transductores mecánicos.
grabadas con un grabador húmedo anisotrópico. Como se puede ver, los
(111) planos lentos están expuestos en ambas situaciones, creando una 54,7 ◦

paredes laterales inclinadas en la oblea (100) y la otra creando paredes Aguafuerte seca

laterales verticales en la oblea (110). Dependiendo de las dimensiones de la La mayoría de las técnicas de grabado en seco se basan en plasma. Tienen varias

abertura de la máscara, se forma una ranura en V o una zanja trapezoidal en ventajas en comparación con el grabado en húmedo. Estos incluyen un corte más

la oblea (100). Una abertura lo suficientemente grande permitirá que el silicio pequeño (que permite modelar líneas más pequeñas) y una mayor anisotropicidad

Parte A 5.1
se grabe a lo largo de la oblea, creando así una delgada membrana dieléctrica (que permite estructuras verticales de alta relación de aspecto). Sin embargo, la

del otro lado. Cabe mencionar que las esquinas convexas expuestas tienen selectividad de las técnicas de grabado en seco es menor que la de los agentes de

una tasa de grabado más alta que las cóncavas, lo que da como resultado un grabado en húmedo, y se debe tener en cuenta la tasa de grabado finita de los

socavado que puede usarse para crear vigas en voladizo dieléctricas (p. Ej., materiales de enmascaramiento. Las tres técnicas básicas de grabado en seco, a

Nitruro). Figura 5.13 muestra una viga en voladizo fabricada utilizando la saber, grabado con plasma de alta presión, grabado con iones reactivos ( RIE ) y el

esquina convexa socavada en una oblea (100). molido de iones utilizan diferentes mecanismos para obtener la direccionalidad.

Los tres atacantes mencionados anteriormente muestran diferentes La molienda de iones es un proceso puramente físico que utiliza iones inertes

selectividades direccionales y dopantes. KOH tiene la mejor (111) selectividad acelerados (por ejemplo, Ar +) que golpean perpendicularmente

(400/1) seguido de TMAH y EDP . Sin embargo, EDP tiene la mayor


selectividad con respecto a las regiones de difusión profunda de boro. La
seguridad y la compatibilidad CMOS son otros criterios importantes para
elegir
+-

KOH

Silicio

Corte de esquina convexo

n-epi sustrato p Electrodo contador


Figura 5.13 Vista superior y sección transversal de una viga en voladizo dieléctrica

fabricada con un corte de esquina convexo Figura 5.14 Configuración de grabado electroquímico
156 Parte A Nanoestructuras, micro / nanofabricación y micro / nanodispositivos

tu ∼ lar a la superficie para eliminar el material (presión los RIE grabado, también llamado grabado asistido por iones,
10 - 4 –10 - 3 torr), Fig. 5.15 a. El personaje principal es- es una combinación de procesos físicos y químicos. En esta técnica, las
Las características de esta técnica son velocidades de grabado muy bajas (del especies reactivas reaccionan con el material solo cuando las superficies
orden de unos pocos nanómetros por minuto) y poca selectividad (cerca de 1: 1 son "activadas" por la colisión de iones incidentes del plasma (por
para la mayoría de los materiales); de ahí que se utilice generalmente para ejemplo, rompiendo enlaces en la superficie). Como en la técnica anterior,
grabar capas muy delgadas. En alta presión (10 - 1 –5 torr) Grabadoras por la direccionalidad de la velocidad del ión produce muchas más colisiones
plasma, se crean especies altamente reactivas que reaccionan con el material a en las superficies horizontales que en las paredes, generando así tasas
grabar. Los productos de la reacción son volátiles, de modo que se difunden y de grabado más rápidas en la dirección vertical (Fig. 5.15 C). Para
se expone material nuevo a las especies reactivas. La direccionalidad se puede aumentar aún más la anisotropía de grabado, también se utilizan métodos
de pasivación de la pared lateral en algunos casos. Un caso interesante
lograr, si se desea, con la técnica de pasivación de la pared lateral (Fig. 5.15 segundo).
En esta técnica, las especies no volátiles producidas en la cámara depositan y es el
pasivan las superficies. El depósito solo puede eliminarse por colisión física con
los iones incidentes. Debido a que el movimiento de los iones tiene una grabado profundo de iones reactivos ( DRIE ), capaz de lograr relaciones de
direccionalidad vertical, el depósito se elimina principalmente en las superficies aspecto de 30: 1 y tasas de grabado de silicio de 2 a 3 µ m / min (es posible el
horizontales, mientras que las paredes verticales permanecen pasivadas. De grabado a través de la oblea). En esta técnica, los pasos de deposición de
esta manera, la tasa de grabado vertical se vuelve mucho más alta que la pasivación y grabado se realizan secuencialmente en un ciclo de dos pasos,
lateral. como se muestra en la Fig. 5.16 . En silicio comercial DRIE grabar al agua fuerte-

ers, SF 6 / Normalmente se utiliza Ar para el paso de grabado y


una combinación de Ar y un fl uoropolímero ( norte CF 2) para el paso de pasivación. Un

polímero similar a Teflón de aproximadamente 50 nm

de espesor se deposita durante el último paso, cubriendo solo las paredes laterales
(el bombardeo de iones Ar + elimina el Teflón en las superficies horizontales).
+
una) + Ion
+ Debido a la naturaleza cíclica de este proceso, las paredes laterales de las
Átomo material
+ características grabadas muestran una rugosidad periódica en "forma de onda" en
Parte A 5.1

Máscara + el rango de 50 a 400 nm.


+
+

El grabado en seco también se puede realizar en equipos sin plasma si los


gases de grabado son lo suficientemente reactivos. Los procesos denominados
de grabado en fase de vapor (VPE) se pueden llevar a cabo en una cámara

segundo) + Ion Especies no volátiles simple con capacidad de bombeo y alimentación de gas. Dos ejemplos de VPE

Átomo material + Producto volátil son


+ difluoruro de xenón (XeF 2) grabado de silicio y HF -grabado al vapor de
+ +
+ dióxido de silicio. Debido a su naturaleza isotrópica,
+
Máscara Estos procesos se utilizan típicamente para grabar capas de sacrificio y
+ liberar estructuras evitando problemas de adherencia (ver Secciones. 5.2.1
+
y 5.2.2 ).

una) segundo)
C) + Ion Activado Volátil
Átomo material + átomo material producto
+
+
+
+
+
Máscara
C) re)
+

...- -..,u
+

Fig. 5.15a-c Representación simplificada de los mecanismos de grabado para Fig. 5.16a – d DRIE proceso cíclico: ( una) patrón fotorresistente

( una) molienda de iones, ( segundo) grabado con plasma de alta presión, y ( C) RIE En g, ( segundo) paso de grabado, ( C) paso de pasivación, y ( re) paso de grabado
Introducción a la micro / nanofabricación 5.1 Técnicas básicas de microfabricación 157

Cuadro 5.1 Químicas típicas de grabado en seco Unión directa de silicio


El silicio directo, o unión por fusión, se utiliza en la fabricación de
Si CF 4 / O 2, CF 2 Cl 2, CF 3 Cl, SF 6 / O 2 / Cl 2, dispositivos micromecánicos y sustratos de silicio sobre aislante (SOI).
Cl 2 / H 2 / C 2 F 6 / CCl 4, C 2 ClF 5 / O 2, Br 2, Aunque se utiliza principalmente para unir dos obleas de silicio con o sin
SiF 4 / O 2, NF 3, ClF 3, CCl 4, CCl 3 F 5, una capa de óxido, también se ha utilizado para unir diferentes
semiconductores como GaAs e InP [5. 13 ]. Un requisito principal para una
C 2 ClF 5 / SF 6, C 2 F 6 / CF 3 Cl, CF 3 Cl / Br 2
unión exitosa es su fi ciente planitud (<10Å de rugosidad superficial y <5 µ m
SiO 2 CF 4 / H 2, C 2 F 6, C 3 F 8, CHF 3 / O 2
arco a través de una oblea de 4 ") y la limpieza de las superficies.
Si 3 norte 4 CF 4 / O 2 / H 2, C 2 F 6, C 3 F 8, CHF 3 Además, también se debe considerar el desajuste de expansión térmica
si se contempla la unión de dos materiales diferentes. El procedimiento
Orgánicos O 2, CF 4 / O 2, SF 6 / O 2
de unión es el siguiente: Las obleas de silicio recubiertas de óxido o de
Alabama BCl 3, BCl 3 / Cl 2, CCl 4 / Cl 2 / BCl 3,
silicio primero se limpian a fondo. Posteriormente, las superficies se
SiCl 4 / Cl 2 hidratan (activan) en HF o ácido nítrico hirviendo (RCA clean también

Siliciuros CF 4 / O 2, NF 3, SF 6 / Cl 2, CF 4 / Cl 2 funciona). Esto hace que las superficies sean hidrófilas al crear una gran
cantidad de iones hidroxilo. Luego, los sustratos se juntan en estrecha
Refractarios CF 4 / O 2, NF 3 / H 2, SF 6 / O 2
proximidad (comenzando desde el centro para evitar la formación de
GaAs BCl 3 / Ar, Cl 2 / O 2 / H 2, CClH 3 / H 2 huecos). La estrecha aproximación de las superficies de unión permite
H 2, CH 4 / H 2, CCl 2 F 2 / O 2 / Ar / He que las fuerzas atractivas de van der Waals de corto alcance pongan las
superficies en contacto íntimo a escala atómica. Después de este paso,
En p CH 4 / H 2, C 2 H 6 / H 2, Cl 2 / Arkansas
un enlace de hidrógeno entre las dos obleas de silicio recubiertas de
Au C 2 Cl 2 F 4, Cl 2, CClF 3 hidroxilo une los sustratos. Estos pasos se pueden realizar a temperatura
ambiente; sin embargo, para aumentar la fuerza de unión, una
temperatura alta (800-1,200 ◦ C) generalmente se requiere recocido. Una
Lo más importante es que los materiales se pueden grabar con las técnicas gran ventaja de la unión por fusión de silicio es la adaptación térmica de
mencionadas anteriormente, y para cada material se encuentra disponible una los sustratos.

Parte A 5.1
variedad de químicas. Mesa 5.1 enumera algunos de los materiales más comunes
junto con recetas de grabado seleccionadas [5. 11 ]. Para cada química, la
velocidad de grabado, la direccionalidad y la selectividad con respecto a los
materiales de la máscara dependen de parámetros como el flujo / velocidades de
los gases que ingresan a la cámara, la presión de trabajo y la RF potencia
aplicada al plasma. Unión anódica
La unión anódica (electrostática) de silicio-vidrio es otra técnica importante de
unión de sustratos que se ha utilizado ampliamente para el empaquetado de
5.1.4 Adhesión del sustrato microsensores y la fabricación de dispositivos. La principal ventaja de esta
técnica es su menor temperatura de unión, que es de alrededor de 300 a 400 ◦ C.
La unión de sustratos (obleas) (silicio-silicio, silicio-vidrio y vidrio-vidrio) es Figura 5.17 muestra la configuración de unión. Oblea de vidrio (generalmente
una de las técnicas de fabricación más importantes en la tecnología de Pyrex 7740 por su expansión térmica que coincide con el silicio)
microsistemas [5. 12 , 13 ]. Se utiliza con frecuencia para fabricar
estructuras tridimensionales complejas como unidad funcional y como
parte del paquete final del microsistema y la encapsulación. Las dos
técnicas de unión más importantes son la fusión silicio-silicio (o unión
directa de silicio) y la unión electrostática (o anódica) silicio-vidrio. Cátodo
Además de estas técnicas, también se han investigado varios otros
métodos alternativos que utilizan una capa intermedia (eutéctica, adhesiva Pirex Na +
+ + + +
+
y frita de vidrio). Todas estas técnicas se pueden utilizar para unir los Agotamiento 1000 V

sustratos a nivel de oblea. En este capítulo, solo discutiremos las técnicas capa

a nivel de oblea y no trataremos los métodos de unión a nivel del Silicio


dispositivo (por ejemplo, soldadura por rayo electrónico y láser). Ánodo
Plato caliente

Figura 5.17 Configuración de unión anódica de vidrio a silicio


158 Parte A Nanoestructuras, micro / nanofabricación y micro / nanodispositivos

se coloca encima de una oblea de silicona, y el sándwich


Presión
se∼ calienta
yo a 300-400 ◦ C. Posteriormente, un voltaje de
Se aplica 1,000V al sándwich de vidrio-silicio con
Calentadores locales
el vidrio conectado al cátodo. La unión comienza inmediatamente después de
Tapón de silicona o vidrio
la aplicación del voltaje y se extiende hacia afuera desde el punto de contacto
del cátodo. La unión se puede observar visualmente como un frente grisáceo
oscuro que se expande por toda la oblea.

SiO 2
El mecanismo de unión es el siguiente: durante el período de
Silicio
calentamiento, los iones de sodio de vidrio se mueven hacia el cátodo y crean
una capa de agotamiento en la interfaz silicio-vidrio. Por lo tanto, se crea una
fuerte fuerza electrostática en la interfaz que empuja a los sustratos a un Figura 5.18 Unión eutéctica con calentamiento localizado

contacto íntimo. La reacción química exacta responsable del enlace anódico


aún no está clara, pero el enlace covalente de silicio y oxígeno en la interfaz
parece ser el responsable del enlace. También es posible la unión anódica de La frita de vidrio también se puede utilizar como capa intermedia en sub-

silicio a silicio utilizando una capa intermedia de vidrio pulverizado o unión de estratos. En esta técnica, primero se deposita una fina capa de vidrio
evaporado. y se realiza un pre-vidriado. A continuación, los sustratos revestidos de vidrio
se ponen en contacto y el sándwich se calienta por encima de la temperatura
de fusión del vidrio (normalmente <600 ◦ C). Como en el proceso eutéctico, se
Unión con capas intermedias debe aplicar presión para un contacto adecuado [5. 17 ].
También se han investigado varias otras técnicas de unión de obleas que
utilizan una capa intermedia [5. 13 ]. Entre las más importantes se encuentran
las uniones adhesivas, eutécticas y de frita de vidrio. La unión adhesiva que Caracterización de enlaces
utiliza un polímero (por ejemplo, poliimidas, epoxis, adhesivos termoplásticos Dos técnicas principales de caracterización para la evaluación de la unión del
y fotorresistentes) entre las obleas se ha utilizado para unir diferentes sustrato son: 1) inspección visual y 2) prueba de la cuchilla [5. 12 ]. Una vez
Parte A 5.1

sustratos de obleas [5. 14 ]. El curado completo (en un horno o mediante que se ha completado la unión, las superficies de unión se pueden
calentamiento dieléctrico) del polímero antes o durante el proceso de unión inspeccionar visualmente para detectar la presencia de huecos, grietas y falta
evita la posterior liberación de solventes y la formación de huecos. Aunque se de uniformidad. La interfaz de enlace anódico silicio-vidrio no requiere ningún
pueden obtener resistencias de unión razonablemente altas, estas uniones no instrumento de imagen en particular y se puede inspeccionar a simple vista o
son herméticas e inestables con el tiempo. con un microscopio óptico. Sin embargo, las imágenes infrarrojas, la
fotografía de rayos X y los métodos ultrasónicos son necesarios para los
sustratos opacos (principalmente silicio-silicio). Aunque la transmisión por
En el proceso de unión eutéctica, las obleas de silicio recubiertas infrarrojos tiene algunos límites de resolución debido a su longitud de onda,
de oro se unen a temperaturas mayores que el punto eutéctico de
silicio-oro (363 ◦ C, 2,85% de silicio y 97,1% de Au) [5. 15 ]. Este
proceso puede lograr una alta fuerza de unión y una buena estabilidad
a temperaturas relativamente bajas. Para una buena uniformidad de
unión, el dióxido de silicio debe eliminarse de la superficie del silicio
antes de la deposición del oro. Además, todos los contaminantes
orgánicos en la superficie de oro deben eliminarse (usando luz
ultravioleta) antes de la unión. También se debe aplicar presión para
lograr un mejor contacto. Aunque la unión eutéctica se puede lograr a
Si oblea t
bajas temperaturas, lograr uniformidad en áreas extensas ha
Espada 2 años
demostrado ser un desafío. Más recientemente, se ha informado de la Si oblea
unión eutéctica de silicio-oro localizada. En esta técnica, una tapa de
silicona se une a otra oblea de silicio que tiene un microcalentador de
oro.
L

(Corriente de 0,27 Å para una temperatura de unión de aproximadamente Figura 5.19 Técnica de prueba de la hoja para medir la adherencia

800 ◦ C), Fig. 5.18 [5. dieciséis ]. fuerza


Introducción a la micro / nanofabricación 5.2 MEMS Técnicas de fabricación 159

es la herramienta más común en la inspección de adherencias y ofrece una dónde gramo es la energía superficial (erg / cm 2), mi es el módulo de
técnica de calidad con una fuente IR simple y una cámara CCD. sustrato de Young (dinas / cm 2), t es el grosor de la oblea, y es la mitad de
espesor de la hoja, y L es la longitud de la grieta. La longitud de la grieta se
La prueba de la cuchilla se realiza a menudo para estimar la mide generalmente usando las franjas de interferencia paralelas al borde de
energía superficial específica (y por lo tanto la fuerza de unión), Fig. 5.19 la hoja bajo una fuente de luz IR. Una técnica semicuantitativa para evaluar
. En esta prueba, se inserta una cuchilla entre los sustratos adheridos la resistencia de la unión es la prueba de tracción. Esta técnica se basa en
y se induce una grieta. Luego se mide la longitud de la grieta y se el uso de un dispositivo de prueba (o tensómetro) para medir la fuerza
calcula la energía superficial específica usando la siguiente ecuación requerida para separar dos sustratos adheridos. La prueba de tracción es
[5. 18 ]: más eficaz en muestras pequeñas y se puede utilizar para comparar
diferentes técnicas de unión sin la necesidad de medir con precisión la
energía superficial.

g = 3 Et 3 y 2
8 L 4,

5.2 Técnicas de fabricación de MEMS

En esta sección, discutiremos varios importantes Micromecanizado a granel


MEMS técnicas de fabricación comúnmente utilizadas para construir Uso de grabado húmedo y unión de obleas
varios microdispositivos (microsensores y microactuadores) [5. 6 - 10 ]. El uso de grabadores húmedos anisotrópicos para eliminar el silicio puede
El espectro dimensional de las microestructuras que se pueden considerarse como el comienzo de la era del micromecanizado. El grabado del
fabricar utilizando estas técnicas van de 1 mm a 1 µ metro. Como se reverso se utilizó para crear estructuras móviles como vigas, membranas y
mencionó en la introducción, principalmente enfatizaremos las placas, Fig. 5,20 . Inicialmente, el grabado se programó para crear un espesor

Parte A 5.2
técnicas más importantes y no discutiremos métodos especializados. específico. Sin embargo, esta técnica resultó inadecuada para crear estructuras
delgadas (<20 µ metro). El uso posterior de varias técnicas de parada de grabado
permitió la creación de membranas más delgadas de una manera más
controlada. Como se menciona en la Secta. 5.1.3 , las regiones de boro
5.2.1 Micromecanizado a granel fuertemente dopadas y la polarización electroquímica pueden usarse para
ralentizar drásticamente el proceso de grabado y, por lo tanto, crear
El micromecanizado a granel es el más antiguo MEMS tecnología y, microestructuras de espesor controlable. Figura 5.21 a, b muestran la sección
por tanto, probablemente una de las más maduras [5. 8 , 9 ]. transversal de dos sensores de presión piezorresistivos fabricados utilizando
Actualmente es, con mucho, el de mayor éxito comercial, ya que ayuda técnicas de parada de grabado electroquímica y P ++. El uso del método P ++
a fabricar dispositivos como sensores de presión y cabezales de requiere el crecimiento epitaxial de una región ligeramente dopada encima de una
impresión de inyección de tinta. Aunque existen muchas variaciones capa de parada de grabado con P ++.
diferentes, el concepto básico detrás del micromecanizado a granel es
la eliminación selectiva del sustrato (silicio, vidrio, GaAs, etc.). Esto
permite la creación de varios componentes micromecánicos como
vigas, placas y membranas que pueden usarse para fabricar una
variedad de sensores y actuadores. Las técnicas de microfabricación
más importantes utilizadas en el micromaquinado a granel son el
grabado en húmedo y en seco y la unión de sustratos. Aunque se Silicio

pueden utilizar diferentes criterios para dividir las técnicas de


micromecanizado a granel en categorías separadas, utilizaremos una
línea de tiempo histórica para este propósito. Comenzando con las
técnicas de grabado en húmedo más tradicionales, RIE y unión de
obleas. KOH

Figura 5.20 Grabado anisotrópico húmedo del reverso de silicona


160 Parte A Nanoestructuras, micro / nanofabricación y micro / nanodispositivos

Esta capa se utiliza posteriormente para la colocación de resistores La técnica de parada de grabado P ++ también se puede utilizar para crear

piezoeléctricos. Sin embargo, si no se requiere ningún componente activo, comieron estructuras delgadas de silicio aisladas a través de la disolución de
simplemente se puede usar la región P ++ para crear una membrana delgada, Fig. 5.21toda la región ligeramente dopada [5. 19 ]. Esta técnica se utilizó con éxito
C. para fabricar registros de silicio y estimular electrodos para aplicaciones
biomédicas. Figura 5.22 muestra la sección transversal de un proceso de
este tipo que se basa en (15-20 µ m) y boro poco profundo (2-5 µ m) pasos de
difusión para crear microelectrodos con cables planos de conexión flexibles.
una) Piezoresistores n-epi
También se ha desarrollado una extensión de este proceso que utiliza una
combinación de capas de parada de ataque P ++ y unión anódica de
silicio-vidrio. Este proceso se conoce comúnmente como el proceso de
Psi obleas disueltas y se ha utilizado para fabricar una variedad de
microsensores y microactuadores [5. 20 ]. Figura 5.23 muestra la sección
transversal de este proceso. Figura 5.24 muestra un

Piezoresistores n-epi
segundo)
SEM fotografía de un microacelerómetro fabricado mediante el proceso

P ++
de obleas disueltas.
Psi
También es posible combinar procesos de fabricación de microelectrónica
y mecanizado de volumen húmedo para construir

� \] e
C)

Si P ++

=1
una)

Silicio

r:
Parte A 5.2

Figura 5.21a – c Técnicas de parada de grabado por micromecanizado húmedo:

1
segundo) P ++ P ++
( una) electroquímico con n-epi sobre sustrato p, ( segundo) P ++ grabado detener con

n-epi, y ( C) Parada de grabado P ++ sin n-epi

'1 ,..

Silicio
C)

re)
r:=1 rr !]
'-----l. J_
Vaso
Difusión B superficial
Profundo B
difusión
e"

mi)

EDP

t /
1

'
)

Fig. 5.23a – e Secuencia de proceso de obleas disueltas, ( una) KOH

Figura 5.22 Fabricación de microestructuras independientes mediante grabado, ( segundo) difusión B profunda, ( C) difusión B superficial, difusiones de boro profundas y superficiales

y EDP lanzamiento ( re) enlace anódico silicio-vidrio, y ( mi) liberar en EDP


Introducción a la micro / nanofabricación 5.2 MEMS Técnicas de fabricación 161

300 µm
100 µm

Figura 5.24 SEM fotografía de una fábrica de microacelerómetro Figura 5.26 Fotografía de un canricado procesado post-CMOS utilizando el proceso de obleas

disueltas [5. 20 ] resonador de haz en mosaico para detección química [5. 21 ]

Viga de suspensión Electrónica Micromecanizado a granel con grabado en seco


El micromecanizado de silicio a granel mediante grabado en seco es una
alternativa muy atractiva a las técnicas en húmedo descritas en la sección
anterior. Estas técnicas se desarrollaron a mediados de la década de 1990,
n bien
tras los exitosos esfuerzos para desarrollar procesos para el grabado
anisotrópico de silicio seco. Avances más recientes en silicio profundo RIE y
Sustrato P

Parte A 5.2
la disponibilidad de obleas SOI con una capa superior de silicio gruesa ha
aumentado la aplicación de estas técnicas. Estas técnicas permiten la
fabricación de alta relación de aspecto
Figura 5.25 Isla suspendida creada sobre un prefabricado
Chip CMOS que utiliza grabado en húmedo en la parte frontal y estructuras verticales electroquímicas de forma aislada o junto con un tope de grabado en el chip

electrónica. La compatibilidad del proceso con la microelectrónica activa es una


preocupación menor en los métodos secos, ya que muchos de ellos no dañan
componentes cromecánicos sobre el mismo sustrato que los circuitos el circuito o su interconexión.
integrados (CMOS, Bipolar o BiCMOS) [5. 21 ]. Esto es muy atractivo ya La técnica de mecanizado a granel en seco más simple se basa en el
que permite la integración de la interfaz y los circuitos de procesamiento socavado del lado frontal de las microestructuras utilizando
de señales con MEMS XeF 2 grabado en fase de vapor [5. 22 ]. Como se mencionó anteriormente, esto, sin

estructuras en un solo chip. Sin embargo, se deben considerar cuidadosamente embargo, es un grabado isotrópico y, por lo tanto, tiene un límite

cuestiones importantes de fabricación como la compatibilidad del proceso y el aplicación ited. Una combinación de grabado seco isotrópico / anisotrópico
rendimiento. Entre las técnicas más populares en esta categoría se encuentra el es más útil y puede usarse para crear una variedad de estructuras
posprocesamiento de los circuitos integrados CMOS mediante grabado frontal interesantes. Dos técnicas exitosas que utilizan esta combinación son el
en grabado reactivo de monocristales y la metalización (SCREAM) [5. 23 ] y
TMAH soluciones. Como se mencionó anteriormente, ricos en silicio liberación en seco post-CMOS utilizando laminado de aluminio / dióxido de
TMAH no ataca el aluminio y, por lo tanto, se puede utilizar para socavar silicio [5. 24 ]. La primera técnica se basa en la combinación de grabado
microestructuras en un chip CMOS ya procesado. Figura 5.25 muestra un seco isotrópico / anisotrópico para crear estructuras suspendidas
esquema de un proceso de este tipo en el que se han utilizado grabado en monocristalinas. Figura 5.27 muestra la sección transversal del proceso.
húmedo del lado frontal y tope de grabado electroquímico para producir Comienza con un anisotrópico
vigas suspendidas. Esta técnica se ha utilizado ampliamente para fabricar
una variedad de microsensores (por ejemplo, humedad, gas, químicos y (Cl 2 / BCl 3) grabado con silicona usando una máscara de óxido (Fig. 5.27 segundo). Esto

presión). Figura 5.26 muestra una fotografía de un sensor químico procesado es seguido por un conformal PECVD depósito de óxido

post-CMOS. posición (Fig. 5.27 C). Posteriormente, se utiliza un grabado de óxido


anisotrópico para eliminar el óxido en la parte inferior del
162 Parte A Nanoestructuras, micro / nanofabricación y micro / nanodispositivos

una) segundo) C)

n
re) mi) F)

Figura 5.27 Sección transversal del proceso SCREAM

trincheras dejando intacto el óxido de la pared lateral (Fig. 5.27 re).


C En esta etapa, un grabado de silicio isotrópico (SF 6) se realiza que da como resultado
un socavado y liberación de la estructura de silicio
re mi tures (Fig. 5.27 mi). Finalmente, si se desea una actuación electrostática, se puede
pulverizar un metal para cubrir la parte superior y la pared lateral de la
una segundo
microestructura y la parte inferior de la cavidad formada debajo de ella (Fig. 5.27 F).
una Figura 5.28 muestra un
SEM fotografía de un actuador de accionamiento por peine fabricado con
tecnología SCREAM.

segundo La segunda técnica de liberación en seco se basa en la capacidad de


enmascaramiento de las líneas de interconexión de aluminio en un circuito
Parte A 5.2

integrado CMOS para crear microestructuras suspendidas. Figura 5.29 muestra


la sección transversal de este proceso. Como se puede ver, el tercer nivel Al de
C
100 µ metro un chip CMOS prefabricado se usa como máscara para anisotrópicamente

Fig. 5.28a – e SEM Fotografía de una estructura fabricada Grabe las capas de óxido subyacentes hasta el proceso utilizando SCREAM: ( una) actuador de

accionamiento de peine, ( segundo) sus- silicio (CHF 3 / O 2), Higo. 5.29 segundo. A esto le sigue un
resorte pendiente, ( C) soporte de resorte, ( re) moviendo suspendido grabado de silicio anisotrópico para crear un hueco en el silicio, que se
placa del condensador, y ( mi) placa de condensador fija [5. 25 ] utilizará en el paso final para facilitar el socavado y la liberación, Fig. 5.29 C.
Finalmente, se usa un grabado de silicio isotrópico para socavar y liberar las
estructuras, Fig. 5.29 re. Figura 5.30 muestra un SEM fotografía de un
una) Capa superior de metal C)
actuador de accionamiento por peine fabricado con esta tecnología.

Además de los métodos descritos anteriormente, los avances


recientes en el desarrollo del grabado profundo de iones reactivos de silicio
( DRIE , ver Sect. 5.1.3 ) han creado nuevas oportunidades para las técnicas
de micromecanizado a granel seco (véase la Sección. 5.2.3 ). Uno de los
más importantes utiliza obleas de SOI de silicio gruesas que están
segundo) re) disponibles comercialmente en varios espesores de silicio superior [5. 26 ].
Figura 5.31 muestra la sección transversal de un proceso típico utilizando DRIE
y obleas SOI. La capa superior de silicio está modelada y grabada hasta el
óxido enterrado, Fig. 5.31 segundo. Posteriormente, el óxido se elimina en HF ,
liberando microestructuras monocristalinas suspendidas,

Figura 5.29 Sección transversal del flujo de proceso para el post-CMOS seco Fig. 5.31 C. En una modi fi cación de este proceso, la fabricación de la submicroestructura

strate también se puede quitar de la parte posterior, lo que permite


Introducción a la micro / nanofabricación 5.2 MEMS Técnicas de fabricación 163

las dificultades relacionadas dieron como resultado la terminación de los esfuerzos


en esta área. A mediados de la década de 1980, las mejoras en el campo de la
deposición de películas delgadas reavivaron el interés por el micromecanizado de
superficies [5. 30 ]. Posteriormente, en la misma década, se introdujo el
micromecanizado de superficies de polisilicio, lo que abrió la puerta a la fabricación
de una variedad de microsensores (acelerómetros, giroscopios, etc.) y
microactuadores (microespejos, RF interruptores, etc.). En esta sección, nos
concentraremos en los pasos clave del proceso involucrados en la fabricación de
micromecanizado de superficies y los diversos materiales utilizados en el proceso
de micromecanizado de superficies. Además, la integración monolítica de CMOS
con MEMS
100 µ metro

Figura 5.30 SEM La fotografía de una fábrica de actuadores de accionamiento por peine y el micromecanizado de superficie en 3D también se capturan utilizando una máscara de

aluminio después de la liberación en seco de CMOS [5. 27 ] discutido.

Procesos básicos de micromecanizado de superficies


una) C)
El proceso básico de micromecanizado de superficies se ilustra en la Fig. 5.32
. El proceso comienza con un sustrato de silicio sobre el cual se cultiva y se
modela una capa sacri fi cial, Fig. 5.32 a. Posteriormente, el material
Oblea SOI
estructural se deposita y se modela, Fig. 5.32 segundo. Como puede verse,
el material estructural se ancla al sustrato a través de las aberturas creadas
segundo) DRIE re) en la capa sacri fi cial durante el paso anterior. Finalmente, se retira la capa
sacri fi cial, dando lugar a la liberación de las microestructuras Fig. 5.32 C.
En estructuras anchas, generalmente es necesario proporcionar orificios de
acceso en la capa estructural para una rápida remoción de la capa de

Parte A 5.2
sacrificio. También es posible sellar las microcavidades

Figura 5.31 DRIE procesos que utilizan obleas SOI

fácil acceso desde ambos lados (esto permite una liberación más fácil y evita la
una) Capa de sacrificio
fricción), Fig. 5.31 re.

5.2.2 Micromecanizado de superficies -


Silicio

Micromecanizado de superficie es otro importante MEMS


Técnica de microfabricación que se puede utilizar para crear microestructuras móviles sobre
segundo) Capaun sustrato de silicio [5. 28 ]. Esta técnica se basa en
estructural

=
la deposición de películas delgadas estructurales sobre una capa sacri fi cial que posteriormente se graba, dando como resultado estructuras
micromecánicas móviles (vigas, membranas, placas, etc.). La principal ventaja del micromecanizado de superficies es que se pueden obtener
tamaños extremadamente pequeños. Además, es relativamente fácil integrar las estructuras micromecanizadas con la electrónica en el chip para
una mayor funcionalidad. Sin embargo, debido a la mayor falta de planitud de la superficie con cualquier capa adicional, existe un límite en el
número de capas que se pueden depositar. Aunque uno de los primeros reportados MEMS estructuras era una superficie Figura 5.32 Transistor de
puerta resonante micromecanizado de fabricación de superficie básica [5. 29 ], proceso de material
C)
1 1

[' ·1
1 1
164 Parte A Nanoestructuras, micro / nanofabricación y micro / nanodispositivos

atado por la técnica de micromecanizado de superficie [5. 8 , 9 ]. Esto se propiedades derivadas y la aplicación específica. Varios requisitos
puede hacer a nivel de oblea y es una gran ventaja en aplicaciones como importantes son: 1) facilidad de deposición,
sensores de presión que requieren una cavidad sellada. Figura 5.33 muestra 2) tasa de deposición, 3) cobertura escalonada, 4) propiedades mecánicas
dos técnicas diferentes que se pueden utilizar para este propósito. En el (tensión interna, gradiente de tensión, módulo de Young, resistencia a la
primero, tras el grabado de la capa sacri fi cial, un LPCVD Se deposita una fractura y amortiguación interna), 5) selectividad de grabado, 6) balance
capa dieléctrica (óxido o nitruro) para cubrir y sellar los orificios de grabado térmico e historial, 7) conductividad eléctrica y 8) reflexividad óptica. Dos
en el material estructural, Fig. 5.33 a. Desde el LPCVD La deposición se ejemplos de los dispositivos micromecanizados de superficie disponibles
realiza a presiones reducidas, se puede crear una microcavidad comercialmente ilustran varias combinaciones de sacrificios / estructuras
subatmosférica de pastillero. En la segunda técnica, también llamada exitosas. Pantalla de espejo deformable de Texas Instruments ( DMD ) El
sellado reactivo, el material estructural de polisilicio se oxida después de la modulador de luz espacial utiliza aluminio como material estructural
eliminación de la capa sacri fi cial, Fig. 5.33 segundo. Si los orificios de (buena reflectancia óptica) y fotorresistente como capa sacri fi cial
acceso son lo suficientemente pequeños, el óxido crecido puede sellar la (grabado en seco fácil y bajas temperaturas de procesamiento, lo que
cavidad. Debido al consumo de oxígeno durante el proceso de crecimiento, permite una fácil pos- IC integración con CMOS) [5. 32 ], Higo. 5.34 . Por el
la cavidad es subatmosférica. contrario, el microgiroscopio de AnalogDevices utiliza material estructural
de polisilicio y un PSG capa sacri fi cial, Fig. 5.35 . Dos adiciones recientes
a la colección de capas estructurales disponibles son el
polisilicio-germanio y el polialemanio [5. 33 , 34 ]. Estos están destinados a
Los materiales sacri fi ciales y estructurales más comunes son el vidrio ser un sustituto del polisilicio en aplicaciones donde la alta temperatura de
de fosfosilicato ( PSG ) y polisilicio, respectivamente (el óxido de baja deposición del polisilicio (alrededor de 600 ◦ C) está prohibido (por ejemplo,
temperatura, o LTO, también se utiliza con frecuencia como capa de integración CMOS).
sacrificio). Sin embargo, hay varias otras combinaciones sacri fi ciales /
estructurales que se han utilizado para crear una variedad de estructuras
superficiales micromecanizadas. Los problemas de diseño importantes
relacionados con la elección de la capa de sacrificio son: 1) calidad (poros,
etc.), 2) facilidad de deposición, 3) velocidad de deposición, 4) temperatura
Parte A 5.2

de deposición y 5) dificultad y selectividad de grabado (grabador de capa de


Bomba de voltios Velocidad Prueba y recorte
sacrificio no debe atacar la capa estructural). La elección particular del
Reguladores amperio Amplificador Coriolis
material para las capas estructurales depende del diseño.

una) Óxido de LPCVD segundo) Poliéster oxidado


o nitruro

Figura 5.33 Dos técnicas de sellado para cavidades creadas con micromecanizado

superficial

Amplificador de salida Demodulador Referencia de sesgo


Manejar y temperatura

Figura 5.34 SEM fotografías del arco de microespejos de Texas Instrument Figura 5.35 SEM fotografía del girocompás de Analog Devices [5. 28 ]

alcance [5. 31 ]
Introducción a la micro / nanofabricación 5.2 MEMS Técnicas de fabricación 165

Cuadro 5.2 Varias combinaciones importantes de estructura / sacri fi cio micromecanizado superficial

Sistema Sacri fi cial Capa estructural Capa estructural Capa sacri fi cial

capa grabador grabador

1 PSG o LTO Poli-Si RIE Mojado o vapor HF

2 Fotorresistente, Rieles Varios metales Disolventes orgánicos,

poliimida (Al, Ni, Co, Ni-Fe) aguafuertes plasma O 2

3 Poli-Si Nitruro RIE KOH


4 PSG o LTO Poly-Ge H 2 O 2 o RCA1 Mojado o vapor HF

5 PSG o LTO Poli-Si-Ge H 2 O 2 o RCA1 Mojado o vapor HF

diferente a LPCVD polisilicio, poli-germanio (poli-Ge) capa bic. La fricción que se produce durante la vida útil del dispositivo
y poli-silicio-germanio (poli-Si 1 - X Ge X) puede depositarse a (fricción en uso) se debe a la condensación de humedad en las superficies,
temperaturas tan bajas como 350 ◦ C ( poly-Ge de la acumulación de carga electrostática o la unión química directa. La
la temperatura de posición suele ser más baja que la del poli-SiGe). Mesa 5.2 resume pasivación de la superficie con monocapas autoensambladas o películas
importantes combinaciones sacri fi ciales / estructurales micromaquinadas de delgadas orgánicas se puede utilizar para reducir la energía de la superficie
superficie. y reducir o eliminar las fuerzas capilares y la unión química directa. Estos
Una consideración importante en el diseño y procesamiento de recubrimientos orgánicos también reducen las fuerzas electrostáticas si se
estructuras superficiales micromecanizadas es la cuestión de la adherencia aplica una capa delgada directamente al semiconductor (sin la capa de
[5. 8 , 9 , 35 , 36 ]. Esto puede suceder durante el paso de liberación si se usa un óxido que interviene). Los recubrimientos orgánicos comúnmente utilizados
grabador húmedo para eliminar la capa de sacrificio, o durante la vida útil del incluyen ácidos grasos fluorados (microespejos de aluminio de Texas
dispositivo. La razón de la adherencia durante la liberación es la tensión Instruments), capas poliméricas de silicona (acelerómetros de Analog
superficial del grabador líquido, que puede mantener la microestructura hacia Devices) y monocapas autoensambladas de siloxano.
abajo y causar adherencia. Esto suele suceder cuando la estructura se

Parte A 5.2
adapta y no posee suficiente constante de resorte para superar la fuerza de
tensión superficial del líquido de enjuague (es decir, agua). Hay varias formas
de aliviar el problema de la fricción relacionado con la liberación. Estos
incluyen: 1) el uso de grabador en fase seca o vapor, 2) el uso de disolventes Integración de micromaquinado de superficies
con menor tensión superficial, 3) geometría con Active Electronics
La integración de estructuras micromecanizadas de superficie con circuitos en
chip puede aumentar el rendimiento y simplificar el empaque. Sin embargo, los
modi fi caciones ricas, 4) CO 2 secado crítico, 5) congelación problemas relacionados con la compatibilidad y el rendimiento del proceso deben
secado, y 6) monocapa autoensamblado SAM ) o o- considerarse cuidadosamente. Las dos técnicas más comunes son MEMS -primero
Modi fi cación de superficie de película fina. La primera técnica previene la y
adherencia al no utilizar un grabador húmedo, aunque en el caso de MEMS -últimas técnicas. En el MEMS -Última técnica, se fabrica en primer
liberación en fase de vapor, existe la posibilidad de condensación y puede lugar el circuito integrado y posteriormente se construyen estructuras
causar algo de adherencia. El segundo método utiliza solventes de superficiales micromecanizadas sobre la oblea de silicio. Una capa
enjuague como el metanol con una tensión superficial más baja que el estructural de aluminio con una capa sacrificatoria fotorresistente es una
agua. Esto suele ir seguido de una rápida evaporación del disolvente en combinación atractiva debido al bajo presupuesto térmico del proceso
una placa caliente. Sin embargo, esta técnica no es óptima y muchas (matriz de microespejos de Texeas Instruments). Sin embargo, en
estructuras aún se mantienen. La tercera técnica es geométrica, que aplicaciones donde las propiedades mecánicas del Al no son adecuadas, el
proporciona hoyuelos en la capa estructural para reducir el área de la material estructural de polisilicio con LTO o PSG se debe utilizar una capa de
superficie de contacto y, por lo tanto, reducir la fuerza de atracción. El sacrificio. Debido a la temperatura de deposición bastante alta del polisilicio,
cuarto y quinto esta combinación requiere una atención especial con respecto al balance
térmico. Por ejemplo, la metalización del aluminio debe evitarse y sustituirse
técnicas se basan en el cambio de fase (en un caso CO 2 por metales refractarios como el tungsteno. Esto solo se puede lograr con
y el otro butil-alcohol), que evita el líquido una mayor complejidad del proceso y un menor rendimiento del transistor.
fase en conjunto pasando directamente a la fase gaseosa. La última técnica
utiliza monocapas autoensambladas o películas delgadas orgánicas para
recubrir las superficies con un hidrofo-
166 Parte A Nanoestructuras, micro / nanofabricación y micro / nanodispositivos

MEMS -La primera técnica alivia estas dificultades al las trincheras. A continuación, se depositan y modelan varias capas de
fabricando las microestructuras al comienzo del proceso. Pero si las polisilicio y óxido de sacrificio en un proceso estándar de
microestructuras se procesan primero, deben enterrarse en una zanja micromecanizado superficial. Posteriormente, las zanjas se rellenan
sellada para eliminar la interferencia de las microestructuras con los completamente con óxido de sacrificio y las obleas se aplanan con
procesos CMOS posteriores. Figura 5.36 muestra una sección transversal pulido químico-mecánico (esto evita complicaciones en los siguientes
de un MEMS -Primer proceso de fabricación desarrollado en el Laboratorio pasos litográficos). Después de un paso de recocido, las zanjas se
Nacional Sandia [5. 37 ]. El proceso comienza con un grabado anisotrópico sellan con una tapa de nitruro. En este punto, se realiza un proceso de
poco profundo de zanjas en un sustrato de silicio para adaptarse a la altura fabricación CMOS estándar. Al final del proceso CMOS, se graba la
de las estructuras de polisilicio fabricadas posteriormente. Luego se capa de nitruro y se liberan las estructuras enterradas grabando el
deposita una capa de nitruro de silicio para proporcionar aislamiento en la óxido sacri fi cial.
parte inferior de

Microestructuras 3D que utilizan micromecanizado de


Área del dispositivo CMOS Área de dispositivos micromecánicos
superficies
Se pueden fabricar microestructuras de superficie tridimensionales
Pasivación Metal Óxido de sacrificio Estructural
utilizando micromecanizado de superficie. La fabricación de bisagras para
polisilicio
el montaje vertical de MEMS fue un gran avance hacia el logro de
microestructuras 3D [5. 39 ]. Los microsistemas ópticos se han beneficiado
enormemente de las estructuras tridimensionales micromecanizadas en la
superficie. Estas microestructuras se utilizan como componentes pasivos o
Capa epitaxial
activos (microespejo, lente de Fresnel, cavidad óptica, etc.) en un banco
óptico de silicio (microfotónica de silicio). Un ejemplo es la lente de Fresnel
Sustrato de silicio que ha sido micromecanizada en la superficie en polisilicio y luego
Parte A 5.2

montada
Polisilicio Nitrido de silicona

Figura 5.36 Sección transversal de la Sandia MEMS -primera fábrica integrada- que utiliza estructuras de bisagra y se bloquea en su lugar mediante un proceso de micación
pestañas cromadas, liberando así la estructura del plano horizontal de la
oblea [5. 38 , 40 ]. Se han utilizado varios microactuadores (por ejemplo,
accionamiento de peine y vibromotores) para mover estas estructuras fuera
Electrostático Microhinge Soporte para la espalda Deslizador
unidad de peine del plano de silicio y colocarlas en posición. Figura 5.37 muestra un SEM fotografía
de un microescáner de código de barras que utiliza un microbanco óptico de
silicio con estructuras micromaquinadas de superficie en 3-D.

5.2.3 Micromecanizado de alta relación de aspecto

Las tecnologías de micromecanizado a granel y de superficie


presentadas en las secciones anteriores cumplen los requisitos de
Foto- un gran grupo de aplicaciones. Ciertas aplicaciones, sin embargo,
Diodo láser detector requieren la fabricación de estructuras de alta relación de aspecto, lo
que no es posible con las tecnologías mencionadas anteriormente.
En esta sección, describimos tres tecnologías, LIGA, HEXSIL y
HARPSS, capaces de producir estructuras con dimensiones
verticales mucho mayores que las dimensiones laterales por medio
100 µm de litología de rayos X.

Figura 5.37 Escáner de bisagras para muestras y pasadores de silicona con superficie tridimensional (LIGA) y DRIE grabado (HEXSIL y estructuras micromecanizadas [5. 38 ]

HARPSS).
Introducción a la micro / nanofabricación 5.2 MEMS Técnicas de fabricación 167

LIGA Polimetacrilato de metilo) ( PMMA ) se considera una opción óptima para el


LIGA es un proceso de micromecanizado de alta relación de aspecto que proceso LIGA. La aplicación del fotorresistente grueso en la parte superior
se basa en la litografía de rayos X y la galvanoplastia (en alemán: LI thographie
del sustrato se puede realizar mediante varias técnicas, como el
GRAMO lvanoformung recubrimiento por centrifugación múltiple, prefabricado PMMA láminas y
UNA bformung) [5. 41 , 42 ]. Ya presentamos el concepto de la técnica de recubrimiento de polimerización por plasma. La estructura y los materiales de
enchapado a través de la máscara en la Sección. 5.1.2 la máscara para la litografía de rayos X también deben cumplir con ciertos
(ver Fig. 5.10 ). Con fotolitografía UV estándar y fotoprotectores, el grosor requisitos. Las máscaras tradicionales basadas en
máximo alcanzable es del orden de unas pocas decenas de micrones y las
estructuras metálicas resultantes muestran paredes ahusadas. LIGA es una
tecnología basada en la misma idea de enchapado a través de la máscara,
pero se puede utilizar para fabricar estructuras metálicas de espesores que
una) luz ultravioleta
van desde unas pocas micras hasta unos pocos milímetros con paredes
laterales casi verticales. Esto se logra mediante litografía de rayos X y Máscara UV

fotorresistencias especiales. Debido a su longitud de onda corta, los rayos X Fotorresistencia

son capaces de penetrar una capa fotorresistente gruesa sin dispersión y Capa de sacrificio
características definitorias con dimensiones laterales de hasta 0,2 µ m (relación Capa de semillas
de aspecto> 100: 1). Sustrato (con capa de aislamiento)

segundo) Rayos x
Los fotorresistentes utilizados en LIGA deben cumplir con ciertos
requisitos, incluida la sensibilidad a los rayos X, la resistencia a los Máscara de rayos x
químicos de galvanoplastia y una buena adhesión al sustrato. Basado

f
en tales requisitos
Resistir rayos X

Parte A 5.2
e

re g
C)

Metal galvanizado

re)

I e

1 1
mi)

Parte fija

250 µm
Parte movible
11
tl/ 1)
Fig. 5.39a – e Proceso sacri fi cial LIGA: ( una) Litografía UV para el modelado de capas

sacri fi ciales, ( segundo) Litografía de rayos X,

Figura 5.38 SEM de estructura de níquel ensamblada fabricada por LIGA ( C) galvanoplastia, ( re) liberación de estructura, ( mi) vista superior de las tures [5. 41 ]

estructura movible
168 Parte A Nanoestructuras, micro / nanofabricación y micro / nanodispositivos

Las placas de vidrio con una capa delgada de cromo estampado no son Debido al alto costo de las fuentes de rayos X (sin-
adecuadas, porque los rayos X no se absorben en la capa de cromo y la radiación de crotrón), la tecnología LIGA estaba inicialmente destinada a la
placa de vidrio no es lo suficientemente transparente. En cambio, la fabricación de moldes que pudieran utilizarse muchas veces en procesos de
litografía de rayos X utiliza una máscara de nitruro de silicio con oro estampado en caliente o de moldeo por inyección. Sin embargo, también se
como material absorbente (normalmente formado por galvanoplastia de ha utilizado en muchas aplicaciones para formar directamente estructuras
oro con un espesor de 10-20 µ metro). La membrana de nitruro está metálicas de alta relación de aspecto sobre un sustrato. Se ha propuesto una
soportada por un marco de silicio, que se puede fabricar utilizando alternativa más barata al proceso LIGA (con calidades algo más pobres)
técnicas de micromecanizado a granel. Una vez que el fotorresistente se denominada UV-LIGA, o “LIGA de los pobres” [5. 43 , 44 ]. Este proceso utiliza
expone a los rayos X y se revela, el proceso prosigue con la fotorresistencias negativas SU-8 (disponibles para recubrimiento por rotación
galvanoplastia del metal deseado. El Ni es el más común, aunque otros en varios espesores que van desde 1 a 500 µ m) y equipo de litografía de
metales y compuestos metálicos como Cu, Au, NiFe y NiW también se contacto estándar. Con esta técnica, se han demostrado relaciones de
galvanizan en los procesos LIGA. Una buena agitación de la solución de aspecto superiores a 20: 1. Un problema importante de esta alternativa es la
recubrimiento es la clave para obtener un resultado uniforme y repetible eliminación de la fotorresistencia SU-8 después del recubrimiento. Se han
durante este paso. Una celda de placa de paleta, basada en un propuesto varios métodos con diferentes grados de éxito. Estos incluyen:
dispositivo similar a un limpiaparabrisas que se aleja solo 1 mm de la grabado en húmedo con disolventes especiales, quemado a altas
superficie del sustrato, proporciona una agitación extremadamente temperaturas (600 ◦ C), grabado en seco, uso de una capa de liberación y
reproducible. Figura 5.38 muestra un SEM de una microestructura LIGA grabado con chorro de agua a alta presión.
fabricada mediante galvanoplastia de níquel.

Una variación del proceso básico LIGA, que se muestra en la Fig. 5.39 ,
permite la fabricación de estructuras móviles eléctricamente aisladas y, por lo
tanto, abre más posibilidades para el diseño de sensores y actuadores
utilizando esta tecnología [5. 45 ]. La llamada LIGA sacri fi cial (SLIGA)
una) re)
comienza con el patrón de la capa de semillas. Posteriormente, se deposita y
Parte A 5.2

modela una capa de sacrificio (p. Ej., Titanio). A continuación, el proceso


prosigue como de costumbre en LIGA estándar hasta el último paso en el que
se elimina la capa de sacrificio. En este paso se liberan las estructuras
galvanizadas que se superponen con la capa sacri fi cial.

segundo) mi)

HEXSIL
El segundo método para fabricar estructuras de alta relación de aspecto, que
se basa en una tecnología de replicación de plantillas, es HEXSIL (HEX polietileno
de panal agonal SIL icono) [5. 46 ]. Figura 5.40 muestra un flujo de proceso
simplificado. Primero se forma una plantilla de alta relación de aspecto en un
sustrato de silicio usando DRIE . A continuación, se deposita una multicapa de
sacrificio para permitir la liberación final de las estructuras. La multicapa se
C) F)
compone de una o más

PSG capas no conformes para proporcionar una rápida liberación de


grabado ( ∼ 20 µ m / min en 49% HF ) alternados con capas de conformación
de óxido o nitruro para proporcionar suficiente espesor para la liberación
adecuada de las estructuras. El espesor total de la capa sacri fi cial debe
ser mayor
Figura 5.40a – f Flujo de proceso HEXSIL: ( una) DRIE , ( segundo) deposición de la capa sacri fi cial, ( C) que el encogimiento o alargamiento de las estructuras causado por la
deposición de material estructural y relleno de zanjas, relajación de la tensión interna (compresiva o de tracción) durante el
( re) grabar la capa estructural de la superficie, ( mi) Grabar la capa sacri fi cial y sacar de la paso de liberación. De lo contrario, las estructuras se sujetarán a las
estructura, ( F) ejemplo de una estructura fabricada HEXSIL paredes de la plantilla.
y su recuperación no será posible. Cualquier material
Introducción a la micro / nanofabricación 5.2 MEMS Técnicas de fabricación 169

una) Óxido Nitruro segundo) Poli 2 Poli 1

Silicio

C) re)

IDI
Figura 5.42a – d Flujo del proceso HARPSS. ( una) Deposición y modelado de nitruros, DRIE grabado
100 µm y deposición de óxido, ( segundo) deposición poli 1

Figura 5.41 SEM micrografía de un microaccionador angular y grabado posterior, patrón de óxido y deposición y patrón de poli 2, fabricado con HEXSIL [5. 48 ]
( C) DRIE grabando, ( re) grabado isotrópico de silicio

que puede depositarse conforme a lo anterior y, sin embargo, no dañarse la sección transversal de un resonador de haz de silicio monocristalino. El
durante la HF El paso de liberación es adecuado para la capa estructural. proceso HARPSS comienza con la deposición y el modelado de una capa de
Estructuras de polisilicio, nitruro y níquel no electrolítico [5. 47 ] ha sido nitruro de silicio que se utilizará para aislar las almohadillas de conexión de la
reportado. El níquel solo se puede depositar en combinación con polisilicio, ya estructura de polietileno del sustrato. Trincheras de alta relación de aspecto ( ∼ 5
que se necesita una superficie conductora para que se produzca la deposición. µ m de ancho) se graban en el sustrato utilizando un DRIE autor de aguafuertes.
Después de la deposición de los materiales estructurales, se realiza un A continuación, una capa de óxido de conformación ( LPCVD ) está depositado.

Parte A 5.2
grabado con manta (poli o nitruro) o un lapeado mecánico (níquel) para Esta capa tiene dos funciones: 1) proteger las estructuras durante la liberación
eliminar el exceso de materiales de la superficie. Finalmente, un 49% HF con del grabado en seco y 2) definir el espacio submicrométrico entre las
tensioactivo se utiliza para disolver las capas sacri fi ciales. El proceso se estructuras de silicio y polisilicio. Después de la deposición de óxido, las zanjas
puede repetir muchas veces utilizando la misma plantilla, lo que reduce se llenan completamente con LPCVD polisilicio. El polisilicio
considerablemente los costes de fabricación. Figura 5.41 muestra un

SEM fotografía de un microaccionador fabricado mediante el proceso


HEXSIL.
Electrodos Estructura de anillo

t
ARPAS
los h IG H una especto r atio combinado con pag oly y
s cristal-ingle s ilicón HARPSS) La tecnología es otra técnica capaz de producir microestructuras de silicio monocristalino y policristalino aislado eléctricamente
1 .
de alta relación de aspecto con espacios de aire capacitivos que van desde submicrómetros a decenas de micrómetros [5. 50 ]. Las estructuras, de decenas a
cientos de micrómetros de espesor, están definidas por zanjas grabadas con DRIE y relleno con capas de óxido y poli. La liberación de las microestructuras
se realiza al final mediante un grabado direccional de silicio seguido de un grabado isotrópico. Los pequeños huecos verticales y las estructuras gruesas
posibles con esta tecnología se pueden aplicar a la fabricación de una variedad de MEMS dispositivos, en particular sensores inerciales [5. 51 ] y RF haz res- Figura
5.43 SEM fotografía de los fabrionadores de un micro-giroscopio [5. 52 ]. Figura 5.42 muestra el fl ujo del proceso calculado utilizando el proceso HARPSS [5. 49

]
170 Parte A Nanoestructuras, micro / nanofabricación y micro / nanodispositivos

está grabado en la parte posterior y el óxido inferior está modelado para que las estructuras de silicio monocristalino no están protegidas en la parte
proporcionar puntos de anclaje para las estructuras. Luego se deposita y se inferior durante el grabado isotrópico. Esto hace que las estructuras de silicio
modela una segunda capa de polisilicio. Finalmente, las estructuras se monocristalino se graben verticalmente desde la parte inferior y, por lo tanto,
liberan utilizando un DRIE paso seguido de un grabado de silicio isotrópico a sean más cortas que las estructuras de polisilicio. Figura 5.43 muestra un SEM fotografía
través de una máscara fotorresistente que expone solo las áreas de sustrato de un micro-giroscopio fabricado mediante el proceso HARPSS.
de silicio que rodean las estructuras. Se debería notar

5.3 Técnicas de nanofabricación


Las técnicas de microfabricación discutidas hasta ahora estaban autoensamblaje para fabricar nanoestructuras a partir de bloques de
principalmente orientadas a fabricar dispositivos en el rango de 1 mm a 1 µ m construcción más pequeños (moléculas, coloides y grupos). El enfoque de
rango dimensional (las dimensiones submicrométricas son posibles en ciertas arriba hacia abajo es una rama de las técnicas estándar de litografía y
técnicas tales como ARPAS micromecanizado. Por otro lado, el enfoque de abajo hacia arriba tiene
utilizando una capa sacri fi cial dieléctrica). Los últimos años han sido testigos más sabor a ingeniería química y ciencia de materiales y se basa en
de un enorme interés en la fabricación de submicro (1 µ m – 100 nm) y principios fundamentalmente diferentes. En este capítulo, discutiremos
nanoestructuras (rango de 1–100 nm) [5. 53 ]. Este interés surge tanto desde cuatro técnicas principales de nanofabricación. Estos incluyen: i) rayo
puntos de vista prácticos como fundamentales. En el nivel más científico y electrónico y nanoimpresión fabricación,
fundamental, las nanoestructuras proporcionan una herramienta interesante
para estudiar las propiedades eléctricas, magnéticas, ópticas, térmicas y ii) epitaxia y ingeniería de deformaciones, iii) técnicas de sonda
mecánicas de la materia a escala nanométrica. Estos incluyen importantes escaneada, y iv) autoensamblaje y fabricación de plantillas.
fenómenos de la mecánica cuántica (p. Ej., Cuantificación de la conductancia,
modificación de la banda prohibida, bloqueo de coulomb, etc.) que surgen del
Parte A 5.3

confinamiento de portadores cargados en estructuras como pozos cuánticos,


cables y puntos, Fig. 5.44 . En el aspecto práctico, las nanoestructuras pueden
una)
proporcionar mejoras significativas en el rendimiento de los dispositivos y
sensores electrónicos / ópticos. En el área de los dispositivos, los
investigadores se han interesado principalmente en fabricar transistores de
tamaño nanométrico en previsión de las dificultades técnicas previstas para
extender la ley de Moore más allá de la resolución de 100 nm. Además, las
fuentes ópticas y los detectores que tienen dimensiones nanométricas exhiben
características mejoradas inalcanzables en dispositivos más grandes (por
ejemplo, corriente de umbral más baja, comportamiento dinámico mejorado y
ancho de línea de emisión mejorado en láseres de puntos cuánticos). Estas
mejoras crean posibilidades novedosas para los dispositivos de comunicación segundo)

y computación de próxima generación. En el área de los sensores, la


reducción de las dimensiones más allá de la litografía óptica convencional
puede proporcionar importantes mejoras en la sensibilidad y selectividad.

C)

En términos generales, se pueden dividir varias técnicas de


nanofabricación en categorías de arriba hacia abajo y de abajo hacia arriba. El
primer enfoque comienza con un material a granel o de película delgada y
elimina regiones selectivas para fabricar nanoestructuras (similar a las técnicas Figura 5.44a – c Varias estructuras importantes de confinamiento cuántico, ( una) pozo

de micromecanizado). El segundo método se basa en el reconocimiento cuántico, ( segundo) alambre cuántico, y


molecular y ( C) punto cuántico
Introducción a la micro / nanofabricación 5.3 Técnicas de nanofabricación 171

5.3.1 Viga E
Pistola de electrones
y fabricación de nanoimpresiones

En secciones anteriores, discutimos varias técnicas importantes de litografía


que se utilizan comúnmente en MEMS y microfabricación. Estos incluyen
A PMMA Sello rígido (molde)

varias formas de litografías UV (regular, profunda y extrema) y de rayos X.


Silicio
/ ....

Sin embargo, debido a la falta de resolución (en el caso de los rayos


ultravioleta), o la dificultad en la fabricación de máscaras y fuentes de
radiación (rayos X), estas técnicas no son adecuadas para la fabricación a
escala nanométrica. La litografía por haz de electrones es una técnica
alternativa atractiva para la fabricación de nanoestructuras [5. 54 ]. Utiliza un
PMMA
haz de electrones para exponer una resistencia sensible a los electrones
como el metacrilato de polimetilo ( PMMA ) disuelto en triclorobenceno Silicio
(positivo) o policlorometilestireno (negativo) [5. 55 ]. La pistola de haz
electrónico suele ser parte de un microscopio electrónico de barrido ( SEM ),
aunque los microscopios electrónicos de transmisión ( TEM ) también puede Metal
ser usado. Aunque electron

se pueden lograr fácilmente longitudes de onda del orden de 1Å, Figura 5.45 Ilustración esquemática de la fabricación de nanoimpresiones

La dispersión de electrones en la resistencia limita las resoluciones alcanzables


a> 10 nm. El control del haz y la generación de patrones se logran a través de una
interfaz de computadora. La litografía por haz de electrones es en serie y, por lo mer. Para una resistencia plástica térmica (p. Ej., PMMA ), el sustrato se calienta
tanto, tiene un rendimiento bajo. Aunque esta no es una preocupación importante por encima de la temperatura de transición vítrea
en la fabricación de dispositivos utilizados en el estudio de la microfísica peratura T gramo) del polímero antes de estampar y es

Parte A 5.3
fundamental, limita severamente la nanofabricación a gran escala. La litografía enfriado a abajo T gramo antes de quitar el sello. Del mismo modo, las resistencias

con haz de electrones, junto con procesos como el despegue, el grabado y la curables por UV y térmicamente están completamente curadas

electrodeposición, se puede utilizar para fabricar diversas nanoestructuras. antes de que se separe el sello. La resolución de la tecnología de
nanoimpresión está limitada por la resistencia del molde y el polímero y
puede ser tan pequeña como 10 nm. Más recientemente, la técnica de
Una nueva técnica interesante que elude las limitaciones en serie y de nanoimpresión se ha utilizado para estampar un sustrato de silicio en menos
bajo rendimiento de la litografía con haz de electrones para la fabricación de de 250 ns utilizando un láser excímero XeCl (308 nm) y una máscara de
nanoestructuras es la tecnología de nanoimpresión [5. 56 ]. Esta técnica utiliza cuarzo (impresión directa asistida por láser, LADI), Fig. 5.46 [5. 57 ].
un maestro (o molde) de material duro fabricado con haz de electrones para
estampar y deformar una capa protectora polimérica. Esto suele ir seguido de
un paso de grabado con iones reactivos para transferir el patrón estampado
al sustrato. Esta técnica es económicamente superior, ya que un solo sello se
Láser excimer
puede utilizar repetidamente para fabricar una gran cantidad de
nanoestructuras. Figura 5.45 muestra una ilustración esquemática de la
fabricación de nanoimpresiones. Primero, un material duro (p. Ej., Cuarzo

Silicio
Silicio fundido
silicio o SiO 2) El sello se crea utilizando litografía de haz electrónico y grabado
con iones reactivos. Entonces, una capa resistente
Sello
el sustrato está estampado y, finalmente, un anisotrópico RIE se realiza
para eliminar el residuo resistente en el área estampada. En esta etapa, el
Frio
proceso está completo y se puede grabar el sustrato o, si se desean
nanoestructuras metálicas, evaporar el metal y realizar un despegue. La y
capa protectora utilizada en la tecnología de nanoimpresión puede lanzamiento

plástico térmico, curable por UV o polimerizable térmicamente Figura 5.46 Nanoimpresión de silicio ultrarrápida utilizando un láser excimer
172 Parte A Nanoestructuras, micro / nanofabricación y micro / nanodispositivos

ción (por ejemplo, láseres de puntos cuánticos). Varios otros métodos que
una) segundo)
10 Nuevo Méjico implican el recrecimiento de capas epitaxiales sobre superficies no planas, como
el borde escalonado, el borde cortado y el sustrato con patrón, se han utilizado
para fabricar alambres cuánticos y puntos sin la necesidad de litografía y
140 Nuevo Méjico grabado de la estructura confinada cuántica. 62 , 64 ]. Estas plantillas de
superficies no planas se pueden fabricar de diversas formas, como grabar a
través de una máscara o escindir a lo largo de planos cristalográficos. El
110 Nuevo Méjico
crecimiento epitaxial posterior en la parte superior de estas estructuras da como
resultado un conjunto de planos con diferentes tasas de crecimiento según la
geometría o los efectos de difusión y adsorción de la superficie. Estos efectos
pueden mejorar o limitar significativamente la tasa de crecimiento en ciertos

200 Nuevo Méjico 200 Nuevo Méjico planos, dando como resultado un patrón lateral y un con fi namiento de las capas
epitaxiales depositadas y la formación de
Cuarzo Silicio

Figura 5.47a, b SEM micrografías de ( una) molde de cuarzo y ( segundo) alambres cuánticos impresos (surcos) y puntos (en la superficie de pirazilicio invertido utilizando LADI [5. 57 ]

medios). Figura 5.48 a muestra una sección transversal esquemática de un cable

cuántico de InGaAs fabricado en un InP de ranura en V. Como puede verse, la tasa de

crecimiento en las paredes laterales es menor que la de las superficies superior e

Figura 5.47 muestra SEM micrografías del molde de cuarzo y sustrato de inferior. Por lo tanto, la capa de InGaAs más gruesa en la parte inferior de la ranura en

silicio impreso con líneas de 140 nm utilizando LADI. V forma un cable cuántico con fi nido desde los lados por una capa más delgada con

una banda prohibida más ancha. Figura 5.48 b muestra un alambre cuántico formado

usando crecimiento epitaxial sobre un patrón dieléctrico

5.3.2 Ingeniería de la deformación y la epitaxia


Parte A 5.3

Técnicas de deposición de precisión atómica como la epitaxia de haz


molecular (MBE) y la deposición de vapor químico metaloorgánico ( MOCVD )
una) Q-pozos de InGaAs Capa de tapa InP
han demostrado ser herramientas eficaces en la fabricación de una variedad
de estructuras y dispositivos de confinamiento cuántico (láseres de pozos
cuánticos, fotodetectores, diodos de efecto túnel resonantes, etc.) [5. 58 - 60 ].
Aunque los pozos cuánticos y las superredes son las estructuras que se
prestan más fácilmente a estas técnicas (ver Fig. 5.44 a), también se han
fabricado hilos y puntos cuánticos mediante la adición de pasos posteriores, En p InGaAs Q-wire
como el grabado y el crecimiento selectivo. La fabricación de estructuras de
superredes y pozos cuánticos utilizando crecimiento epitaxial es un campo
maduro y bien desarrollado y, por lo tanto, no se discutirá en este capítulo. En
su lugar, nos concentraremos en la fabricación de nanoestructuras de puntos
segundo) AlGaAs GaAs
y alambres cuánticos utilizando técnicas epitaxiales básicas [5. 61 , 62 ].
Máscara

Nanofabricación de estructuras cuánticas


Uso de epitaxia en sustratos estampados
Ha habido varios enfoques diferentes para la fabricación de puntos y alambres cuánticos utilizando capas epitaxiales. LaGaAs
técnica más sencilla implica la litografía
con haz de electrones y el grabado de una capa de crecimiento epitaxial (por ejemplo, InGaAs sobre sustrato de GaAs) [5. 63 ]. Sin embargo, debido a la Figura 5.48
(a) Alambre cuántico InGaAs fabricado en V daño y / o contaminación durante la litografía, esta ranura InP y ( segundo) El método de fabricación de alambre cuántico

de AlGaAs no es muy adecuado para la fabricación de dispositivos activos, a través del crecimiento epitaxial en un sustrato de GaAs enmascarado
Introducción a la micro / nanofabricación 5.3 Técnicas de nanofabricación 173

sustrato plano ternado. En ambas técnicas, es relativamente fácil crear que las constantes de celosía son iguales. Cuando se excede un
pozos cuánticos. Sin embargo, para crear cables y puntos cuánticos, espesor crítico, una deformación significativa en la capa conduce
todavía se necesita la litografía de haz de electrones para modelar las a la ruptura de esta estructura ordenada y la formación
plantillas de ranura y ventana. espontánea de islotes distribuidos aleatoriamente de forma
regular y tamaño similar (generalmente <30 nm de diámetro).
Este modo de crecimiento generalmente se denomina modo
Nanofabricación de estructuras cuánticas Stranski-Krastanow. El tamaño, la separación y la altura del punto
Uso de autoensamblaje inducido por deformación cuántico dependen de los parámetros de deposición (es decir,
Una técnica más reciente para fabricar cables y puntos cuánticos material depositado total, tasa de crecimiento y temperatura) y
implica autoensamblaje [ 5. 62 , combinaciones de materiales. Como se puede ver, este es un
sesenta y cinco ]. El termino autoensamblaje representa un proceso método muy conveniente para desarrollar nanoestructuras
mediante el cual un sistema 2-D forzado reduce su energía mediante una cristalinas perfectas en un área grande sin litografía ni grabado.
transición a una morfología 3-D. La combinación de materiales más Un gran inconveniente de esta técnica es la aleatoriedad de la
utilizada para esta técnica es distribución de puntos cuánticos.
el en X Georgia 1 - X Sistema As / GaAs, que ofrece un gran desajuste de
celosía (7,2% entre InAs y GaAs) [5. 66 , 67 ],
aunque recientemente los puntos Ge sobre sustrato de Si también han
atraído una atención considerable [5. 68 ]. Este método se basa en el
desajuste de la red entre una capa de crecimiento epitaxial y su sustrato 5.3.3 Técnicas de sonda escaneada
para formar una matriz de puntos cuánticos o cables. Figura 5.49 muestra un
esquema del proceso de autoensamblaje inducido por deformación. Cuando La invención de los microscopios de sonda escaneados en la década de
las constantes reticulares del sustrato y la capa epitaxial difieren 1980 revolucionó la espectroscopia y la obtención de imágenes a escala
considerablemente, solo las primeras monocapas depositadas cristalizan en atómica. En particular, los microscopios de barrido de túnel y de fuerza
forma de una capa tensada epitaxial en atómica ( STM y AFM ) han encontrado aplicaciones generalizadas en física,
química, ciencia de materiales y biología. La posibilidad de manipulación a

Parte A 5.3
escala atómica, litografía y nanomáquina utilizando tales sondas se
consideró desde el principio y ha madurado considerablemente durante la
última década. En esta sección, después de una breve introducción a los
una) microscopios de sonda escaneados, discutiremos varias técnicas
importantes de nano-litografía y mecanizado que se han utilizado para crear
estructuras de tamaño nanométrico.

La microscopía de sonda de barrido ( SPM ) los sistemas son

segundo) capaz de controlar el movimiento de una punta atómicamente afilada en las


proximidades o en contacto con una superficie con precisión subnanométrica.
Los posicionadores piezoeléctricos se utilizan normalmente para lograr tal
precisión. Se pueden adquirir imágenes de alta resolución escaneando las
puntas sobre una superficie mientras se monitorea simultáneamente la
interacción de la punta con la superficie. En los sistemas de microscopios de
túnel de barrido, se aplica un voltaje de polarización a la muestra y la punta se

C) coloca lo suficientemente cerca de la superficie, de modo que se desarrolla una


h
corriente de túnel a través del espacio (Fig. 5.50 una). Debido a que esta
corriente es extremadamente sensible a la distancia entre la punta y la
superficie, escaneando la punta en el xy plano mientras se registra la corriente
W
del túnel permite el mapeo de la topografía de la superficie

Figura 5.49a – c Modo de crecimiento Stranski-Krastanow, ( una) 2-D con resolución a escala atómica. En una capa más húmeda, ( segundo) crecimiento
frontal rugosidad y ruptura, modo de operación mon, la señal de corriente amplificada es y ( C) autoensamblaje coherente en 3-D
Conectado al z- posicionador piezoeléctrico de eje a través
174 Parte A Nanoestructuras, micro / nanofabricación y micro / nanodispositivos

azulejo con una conexión eléctrica, por lo que tanto las fuerzas superficiales
una) z Posicionador piezo como las corrientes de túnel se pueden controlar o monitorear. STM los sistemas
Retroalimentación
se pueden operar en vacío ultra alto ( UHVSTM ) o en el aire, mientras que AFM los
Exploración sistemas se operan típicamente en el aire. Cuando se opera un sistema de sonda
señal de escaneo en el aire, el agua adsorbida en la superficie de la muestra se
Actual acumula debajo de la punta, formando un amenisco entre la punta y la superficie.
sensor
yo Este menisco de agua juega un papel importante en algunas de las técnicas de
UNA xy Posicionador piezo
sonda de exploración que se describen a continuación.

Parcialidad
voltaje yo

Sustrato Oxidación inducida por sonda de exploración


La oxidación local a escala nanométrica de varios materiales se puede lograr
utilizando sondas de exploración operadas en aire y polarizadas a un voltaje

z posicionador piezoeléctrico suficientemente alto, Fig. 5.51 . Sesgo de punta de - 2 a - Normalmente se


segundo)
Retroalimentación utilizan 10 V con velocidades de escritura de 0,1 a 100 µ m / s en una humedad
ambiental de 20 a 40%. Se cree que el menisco de agua formado en el punto
Exploración
Desviación
señal de contacto sirve como electrolito de manera que la punta polarizada oxida
sensor
anódicamente una pequeña región de la superficie [5. 70 ]. La aplicación más
común de este principio es la oxidación del silicio pasivado con hidrógeno. Un
xy Posicionador piezo chapuzón en HF La solución se usa típicamente para pasivar las superficies de
silicio con átomos de hidrógeno. Los patrones de óxido "escritos" en una
superficie de silicio se pueden utilizar como máscara para el grabado en
húmedo o en seco. Los patrones de ancho de línea de diez nm se han

e
Sustrato transferido con éxito a un silicio
Parte A 5.3

( segundo) AFM
J
Figura 5.50a, b Sistemas de sonda de escaneo: ( una) STM y sustrato de esta manera [5. 71 ]. Varios metales también han
anodizado localmente utilizando este enfoque, como aluminio

un circuito de retroalimentación, de modo que la corriente y, por lo tanto, la


distancia se mantengan constantes durante todo el escaneo. En esta con fi
guración, la imagen de la topografía de la superficie se obtiene registrando la
posición vertical de la punta en cada xy posición.

los STM sistemáticamente funciona para superficies conductoras debido a la necesidad de establecer una corriente de túnel. La microscopía de fuerza
atómica se desarrolló como una alternativa para obtener imágenes de superficies conductoras o no conductoras. En AFM , la punta se une a un voladizo
flexible y se pone en contacto con la superficie (Fig. 5.50 segundo). La fuerza entre la punta y la superficie se detecta detectando la desviación del voladizo.
Se obtiene una imagen topográfica de la superficie trazando la desviación en función de la xy posición. En un modo de funcionamiento más común, se utiliza
un circuito de retroalimentación para mantener una desviación constante, mientras que la información topográfica se obtiene del desplazamiento vertical en
voladizo. Figura 5.51 SEM imagen de una pirámide truncada invertida

1 µm

Algunos sistemas de sondas de barrido utilizan una combinación de la matriz fabricada en una oblea SOI de silicio por SPM oxidación
AFM y STM modos, es decir, la punta se monta en una lata y posteriormente se graba en TMAH (el paso es de 500 nm) [5. 69 ]
Introducción a la micro / nanofabricación 5.3 Técnicas de nanofabricación 175

mínimo o titanio [5. 72 ]. Una variación interesante de este proceso es la


Punta AFM
anodización del silicio amorfo depositado [5. 73 ]. El silicio amorfo se
puede depositar a baja temperatura sobre muchos materiales. La capa
de silicio depositada se puede modelar y utilizar como, por ejemplo, la Transporte molecular Dirección de escritura
puerta de un 0,1 µ m Transistor CMOS [5. 74 ], o se puede utilizar como
máscara para modelar una película subyacente. El principal
Menisco de agua
inconveniente de esta técnica es la mala reproducibilidad debido al
desgaste de la punta durante la anodización.
Sustrato

Sin embargo, usando AFM en modo sin contacto ha superado Figura 5.53 Representación esquemática de la nanolitografía dip-pen
este problema [5. 70 ]. principio de funcionamiento

Litografía y exposición resistente a la sonda


de escaneo
Electrones emitidos por una polarización SPM La punta se puede usar para realizado en soluciones estándar después de la exposición. Con este
exponer una capa protectora de la misma manera que lo hace la litografía con procedimiento se han logrado características por debajo de 50 nm de ancho.
haz de electrones (Fig. 5.52 ) [5. 74 ]. Se han utilizado varios sistemas para esta
técnica litográfica. Estos incluyen corriente constante STM , sin contacto AFM y AFM
con fuerza constante de resistencia a la punta y corriente constante. Los Nanolitografía Dip-Pen
sistemas que utilizan AFM los voladizos tienen la ventaja de realizar tareas de En nanolitografía con bolígrafo ( DPN ), la punta de un AFM
imagen y alineación sin exponer la resistencia. Resiste bien caracterizado para operado en aire se "entinta" con una sustancia química de interés y se pone
litografía con haz de electrones (p. Ej., PMMA o SAL601) se han utilizado con la en contacto con una superficie. Las moléculas de tinta fluyen desde la punta
litografía de sonda de barrido para lograr una litografía de menos de 100 nm a la superficie como con una pluma estilográfica. El menisco de agua que se
fiable. El procedimiento para este proceso es el siguiente. Se limpian las obleas forma naturalmente entre la punta y la superficie permite la difusión y el
y se elimina el óxido nativo (en el caso de silicio o poli) con un HF inmersión. transporte de las moléculas, como se muestra en la Fig. 5.53 . El entintado se

Parte A 5.3
Posteriormente, se aplica una capa protectora de 35 a 100 nm de espesor en la puede hacer sumergiendo la punta en una solución que contenga una
parte superior de la superficie. La exposición se realiza moviendo el pequeña concentración de las moléculas seguido de un paso de secado (por
ejemplo, secado con soplado con difluoroetano comprimido). Con esta
técnica se han demostrado anchos de línea de hasta 12 nm con una
resolución espacial de 5 nm [5. 75 ]. Especies estampadas con DPN incluyen
SPM vuelque sobre la superficie mientras aplica un voltaje de polarización lo polímeros conductores, oro, dendrímeros, ADN, tintes orgánicos, anticuerpos
suficientemente alto como para producir una emisión de electrones desde la punta y alcanetioles. También se han utilizado alcanetioles como máscara de
(unas pocas decenas de voltios). El desarrollo de la resistencia es monocapa orgánica para grabar una capa de oro y posteriormente grabar el
sustrato de silicio expuesto.

Exposición
yo

Resistencia orgánica V Otras técnicas de nanofabricación de sondas de


exploración
Se ha demostrado una gran variedad de técnicas de nanofabricación que
Sustrato conductor utilizan sistemas de sondas de barrido. Algunos de estos son demostraciones
de prueba de concepto y su utilidad como proceso de fabricación viable y
repetible aún no se ha evaluado. Por ejemplo, un sustrato se puede
Desarrollo mecanizar mecánicamente utilizando STM / AFM puntas que actúan como
arados o herramientas de grabado [5. 76 ]. Esto se puede usar para crear
estructuras directamente en el sustrato, aunque se usa más comúnmente
1 para modelar una capa protectora para un paso posterior de grabado,
1 1 despegue o electrodeposición. Nanomáquinas mecánicas con SPM las sondas
pueden ser fa-
Figura 5.52 Litografía de sonda de barrido con resistencia orgánica
176 Parte A Nanoestructuras, micro / nanofabricación y micro / nanodispositivos

cilitado calentando la punta por encima de la transición vítrea de un material limitaciones de mínimos dinámicos (minimización de energía), o químicas y
de sustrato polimérico. Este enfoque se ha aplicado a SPM almacenamiento de debidas a la unión complementaria de moléculas orgánicas y
datos de alta densidad basado en sustratos de policarbonato [5. 77 ]. supramoléculas (autoensamblaje molecular) [5. 87 ]. El autoensamblaje
molecular es una de las técnicas más importantes utilizadas en biología
Los campos eléctricos lo suficientemente fuertes como para inducir la para el desarrollo de estructuras funcionales complejas. Dado que estas
emisión de átomos desde la punta se pueden generar fácilmente aplicando técnicas requieren que las estructuras diana sean termodinámicamente
pulsos de voltaje por encima de 3V. Este fenómeno se ha utilizado para estables, tienden a producir estructuras relativamente libres de defectos y
transferir material desde la punta a la superficie y viceversa. De esta manera autocurativas. El autoensamblaje no se limita de ninguna manera a las
se han depositado o eliminado de una superficie montículos de metales como moléculas o al nanodominio y puede llevarse a cabo en casi cualquier
Au, Ag o Pt de diez a 20 nm [5. 78 ]. Se ha utilizado el mismo enfoque para escala, lo que lo convierte en un poderoso método de ensamblaje y
extraer átomos individuales de una superficie semiconductora y volver a fabricación de abajo hacia arriba (pedido multiescala). Otra característica
depositarlos en otro lugar [5. 79 ]. La manipulación de nanopartículas, atractiva de esta técnica se relaciona con la posibilidad de combinar las
moléculas y átomos individuales en la parte superior de una superficie propiedades de autoensamblaje de moléculas orgánicas con las
también se ha logrado simplemente empujándolos o deslizándolos con el SPM propiedades electrónicas, magnéticas y fotónicas de los componentes
inorgánicos. Fabricación de plantillas es otra técnica ascendente que utiliza
consejo [5. 80 ]. Los metales también pueden ser depositados localmente por STM
técnica de deposición de vapor químico [5. 81 ]. En esta técnica, se introduce la deposición de material (galvanoplastia, CVD , etc.) en nano-plantillas para
un gas organometálico precursor en el STM cámara. Un pulso de voltaje fabricar nanoestructuras. Las nanoplantillas utilizadas en esta técnica se
aplicado entre la punta y la superficie disocia el gas precursor en una fina suelen preparar mediante técnicas de autoensamblaje. En las siguientes
capa de metal. Grabado electroquímico local [5. 82 ] y electrodeposición [5. 83 ] secciones, discutiremos varias técnicas importantes de autoensamblaje y
también son posibles usando SPM sistemas. Primero se coloca una gota de fabricación de plantillas que actualmente se encuentran bajo intensa
solución adecuada sobre el sustrato. Entonces la STM la punta se sumerge investigación.
en la gota y se aplica un voltaje. Para reducir las corrientes faradaicas, la
punta se recubre con cera de modo que solo el extremo quede expuesto a la
solución. Se ha logrado un tamaño de característica inferior a 100 nm
utilizando esta técnica.
Parte A 5.3

Autoensamblaje físico y químico


El tema central detrás del proceso de autoensamblaje es la agregación
espontánea (física) o química de nanopartículas coloidales [5. 88 ]. El
autoensamblaje espontáneo aprovecha la tendencia de las esferas nano
El uso de una sola punta para producir en serie la modificación deseada o submicro coloidales mono-dispersas a organizarse en una red cúbica
en una superficie conduce a procesos de fabricación muy lentos que no son centrada en la cara (FCC). La fuerza que impulsa este proceso es el
prácticos para la producción en masa. Sin embargo, muchas de las técnicas deseo del sistema de lograr un estado termodinámicamente estable
de sonda de barrido desarrolladas hasta ahora también podrían realizarse (energía libre mínima). Además del autoensamblaje térmico espontáneo,
mediante una serie de puntas, lo que aumentaría su rendimiento y las haría las fuerzas gravitacionales, convectivas y electrohidrodinámicas también
más competitivas en comparación con otros procesos de nanofabricación se pueden utilizar para inducir la agregación en estructuras complejas en
paralelos. Este enfoque ha sido demostrado para imágenes, litografía [5. 84 ] y 3D. El autoensamblaje químico requiere la unión de una sola capa
almacenamiento de datos [5. 85 ] utilizando matrices 1-D y 2-D de sondas de orgánica molecular ( monocapa autoensamblado,
exploración. Con el desarrollo de arreglos más grandes con control individual
de fuerza, posición vertical y avances actuales, podríamos ver que estas
técnicas se convierten en procesos de fabricación estándar en la industria. o SAM ) a las partículas coloidales (orgánicas o inorgánicas) y el posterior
autoensamblaje de estos componentes en una estructura compleja
mediante reconocimiento y unión molecular.

5.3.4 Autoensamblaje Autoensamblaje físico. Este es un método impulsado por entrópicos que
y fabricación de plantillas se basa en la organización espontánea de partículas coloidales en una
estructura relativamente estable a través de interacciones no covalentes.
El autoensamblaje es una técnica de nanofabricación que implica la Por ejemplo, las esferas de poliestireno coloidal se pueden ensamblar en
agregación de nanopartículas coloidales en la estructura final una estructura 3-D sobre un sustrato que se mantiene verticalmente en la
deseada [5. 86 ]. Esta agregación puede ser espontánea (entrópica) y solución coloidal, Fig. 5.54 [5. 89 , 90 ]. Sobre la
debido a la temperatura
Introducción a la micro / nanofabricación 5.3 Técnicas de nanofabricación 177

Silicio
Nanopartículas
oblea

Menisco

5 µm

Figura 5.55 Transversal SEM imagen de un ópalo plano delgado

Figura 5.54 Autoensamblaje de partículas coloidales sobre una plantilla sólida de sub-sílice (esferas de 855 nm de diámetro), estratos ensamblados al secarse en posición

vertical directamente sobre una oblea de Si [5. 89 ]

evaporación del solvente, las esferas se agregan en una estructura hexagonal dimensiones de las plantillas, lo que permite el ensamblaje de estructuras
compacta (HCP). El tamaño y la densidad del poro intersticial están complejas a partir de partículas coloidales. La vista en sección transversal
determinados por el tamaño de la esfera del polímero. Las esferas de de una celda fluídica utilizada en TASA se muestra en la Fig. 5.56 . La celda
polímero se pueden grabar en tamaños más pequeños después de formar las fluídica tiene dos sustratos de vidrio paralelos para limitar la dispersión
matrices de HCP, alterando así las separaciones de poros de la plantilla [5. 91 ]. acuosa de las partículas coloidales. La superficie del sustrato inferior está
Esta técnica puede fabricar grandes áreas con patrones de una manera modelada con una matriz de plantillas 2-D. Cuando se permite que la
rápida, simple y rentable. Un ejemplo clásico es el ensamblaje natural de dispersión acuosa se deshumedezca lentamente a través de la celda, la

Parte A 5.3
cristales de banda prohibida fotónicos de silicio en chip [5. 89 ], que son fuerza capilar ejercida sobre el líquido empuja las esferas coloidales a través
capaces de reflejar la luz que llega desde cualquier dirección en un cierto de la superficie del sustrato inferior hasta que quedan atrapadas físicamente
rango de longitud de onda [5. 92 ]. En este método, se ensambla una fina capa por las plantillas. Si la concentración de la dispersión coloidal es
de esferas coloidales de sílice sobre un sustrato de silicio. Esto se logra suficientemente alta, la plantilla se llenará con el número máximo de
colocando una oblea de silicio verticalmente en un vial que contiene una partículas coloidales determinadas por el confinamiento geométrico. Este
suspensión etanólica de esferas de sílice. Un gradiente de temperatura a método se puede usar para fabricar una variedad de agregados poligonales
través del vial ayuda al fl ujo de esferas de sílice. Figura 5.55 muestra la y poliédricos que son difíciles de generar [5. 95 ].
sección transversal

SEM imagen de una plantilla de ópalo plana delgada ensamblada directamente sobre

una oblea de Si a partir de esferas de 855 nm. Una vez preparada dicha plantilla, LPCVD

se puede utilizar para llenar los espacios intersticiales con Si, de modo que el alto

.
índice de refracción del silicio proporcione la banda prohibida necesaria. Partículas coloidales

Fluir
También se pueden depositar partículas coloidales en un sustrato
modelado (autoensamblaje asistido por plantilla, TASA) [5. 93 , 94 ]. Este
método se basa en el principio de que cuando se permite que la dispersión ..
acuosa de partículas coloidales se deshumedezca de una superficie sólida
que ya está modelada, las partículas coloidales son atrapadas por las
regiones empotradas y ensambladas en agregados de formas y tamaños
determinados por el confinamiento geométrico proporcionado. por la plantilla.
Las matrices de plantillas estampadas Modelo Sustrato

se puede fabricar utilizando la fotografía de modo de contacto convencional Figura 5.56 Una vista en sección transversal de la celda fluídica utilizada para

tolitografía, que da control sobre la forma y autoensamblaje asistido por plantilla


178 Parte A Nanoestructuras, micro / nanofabricación y micro / nanodispositivos

Autoensamblaje químico. Orgánico y supramolecular de la solución a Si / SiO 2 sustratos. Dado que el enlace de cloruro
SAM s juegan un papel crítico en el autoensamblaje de partículas coloidales. SAM de silicio es susceptible de hidrólisis, un
s son moléculas orgánicas robustas que se adsorben químicamente sobre Debe haber una cantidad de agua presente en el sistema para obtener
sustratos sólidos [5. 96 ]. La mayoría de las veces, tienen una cabeza hidrófila monocapas de buena calidad. Las monocapas hechas de alquilsilanos
(polar) que se puede unir a varias superficies sólidas y una cola larga, hidrófoba terminados en metilo y vinilo son autofóbicas a la solución de hidrocarburo
(no polar) que se extiende hacia afuera. SAM Los s se forman por la inmersión y, por lo tanto, emergen uniformemente secas de la solución, mientras que
de un sustrato en una solución diluida de la molécula en un disolvente orgánico. las monocapas hechas de alquilsilanos terminados en éster emergen
La película resultante es una densa organización de moléculas dispuestas para húmedas de la solución utilizada en su formación. La desventaja de este
exponer el grupo final. La durabilidad de un SAM Depende en gran medida de la método es que si el alquiltriclorosilano en el disolvente que se adhiere al
eficacia del anclaje a la superficie del sustrato. SAM Los s han sido ampliamente sustrato se expone al agua, se deposita una película turbia sobre la
estudiados, porque el grupo terminal se puede funcionalizar para formar superficie debido a la formación de un gel de siloxano polimérico.
matrices moleculares dispuestas de manera imprecisa para diversas
aplicaciones que van desde aislantes y lubricantes simples y ultrafinos hasta
sensores biológicos complejos. El autoensamblaje químico utiliza orgánicos o Otro orgánico importante SAM sistema es el alca-
supramoleculares. SAM s como sitios de unión y reconocimiento para la netioles (X (CH 2) n SH, donde X es el grupo final) en oro [5. 96 , 99 - 101
fabricación de estructuras tridimensionales complejas a partir de nanopartículas ]. Una gran ventaja de usar oro
coloidales. Las monocapas orgánicas más comúnmente utilizadas incluyen: 1) como material de sustrato es que no tiene un óxido estable y, por lo
compuestos de organosilicio sobre vidrio y una capa de óxido superficial nativo tanto, puede manipularse en condiciones ambientales. Cuando se
de silicio, 2) alcanetioles, dialquil disulfuros y dialquil sulfuros sobre oro, sumerge un sustrato de oro hidrófilo, limpio y fresco (de varios minutos
a varias horas) en una solución diluida (10 - 3 M) del compuesto de
azufre orgánico (alcanetioles) en un disolvente inorgánico, se puede
obtener una monocapa orientada compactada. El azufre se utiliza como
grupo principal, debido a su fuerte interacción con el sustrato de oro (44
3) ácidos grasos sobre alúmina y otros óxidos metálicos, y kcal / mol), lo que da como resultado la formación de una monocapa
4) ADN. ordenada y compacta. El grupo final de alcanotiol se puede modificar
Parte A 5.3

Octadeciltriclorosilano ( OTS ) es el más complejo para dar propiedades hidrófobas o hidrófilas a la capa adsorbida. Otro
mon organosilano utilizado en la formación de SAM s, principalmente método para depositar alcanotiol SAM es la litografía blanda. Esta
por el hecho de que es simple, fácilmente disponible y forma capas técnica se basa en entintar un PDMS
buenas y densas [5. 97 , 98 ]. Las monocapas de alquiltriclorosilano se
pueden preparar en
obleas limpias de silicio cuya superficie es SiO 2 ( con casi 5 × 10 14 Grupos estampar con alcanotiol y su posterior transferencia a sustratos planos y no
de SiOH / cm 2). Figura 5.57 muestra el planos. Las superficies funcionalizadas con alcanetiol (planas, no planas,
representación esquemática de la formación de monocapas de esféricas) también se pueden utilizar para autoensamblar una variedad de
alquilsiloxano por adsorción de alquiltriclorosilanos intrincadas estructuras tridimensionales [5. 102 ].

Los derivados del ácido carboxílico se autoensamblan en la superficie.

caras (p. ej., vidrio, Al 2 O 3, y Ag 2 O) a través de una reacción


una) R
ácido-base, dando lugar a monocapas de grasas
ácidos [5. 103 ]. El tiempo necesario para la formación de una monocapa
(CH 2) n
completa aumenta al disminuir la concentración. Se requiere una mayor
concentración de ácido carboxílico para formar una monocapa sobre oro
SiO 3
en comparación con
Alabama 2 O 3. Esto se debe a las diferencias en la afinidad de los
segundo)

SiO 2 SiO 2 Grupos COOH (más afinidad por Al 2 O 3 y vidrio que el oro) y
también la concentración superficial de la sal-
formando óxidos en los dos sustratos. En el caso de superficies de
Si Si
óxidos metálicos amorfos, la quimisorción de
Los ácidos alcanoicos no son únicos. Por ejemplo, en Ag 2 Oh el
Figura 5.57 (a) Alquilsiloxano formado a partir de la adsorción de carboxilato, dos átomos de oxígeno se unen al sustrato en
alquiltriclorosilano sobre Si / SiO 2 sustratos. ( segundo) Esquema de una manera casi simétrica, lo que da como resultado una monorepresentación ordenada del proceso.
capas con un ángulo de inclinación de la cadena desde la superficie normalmente
Introducción a la micro / nanofabricación 5.3 Técnicas de nanofabricación 179

de 15 ◦ hasta 25 ◦. Pero en CuO y Al 2 O 3, los átomos de oxígeno se unen por oxidación anódica del aluminio [5. 108 ]. La película oxidada consta de
simétricamente y el ángulo de inclinación de la cadena conjuntos columnares de poros hexagonales compactos con una separación
está cerca de 0 ◦. La estructura de las monocapas es, por tanto, un equilibrio de las comparable al tamaño de los poros. Controlando las especies de electrolitos,
diversas interacciones que tienen lugar en las cadenas de polímero. la temperatura, el voltaje de anodización y el tiempo, se pueden obtener
diferentes tamaños de poro, densidad y altura. El tamaño y la profundidad de
El ácido desoxirribonucleico (ADN), el marco sobre el que se los poros se pueden ajustar aún más grabando el óxido en un ácido
construye toda la vida, se puede utilizar para autoensamblar apropiado. Se pueden fabricar moldes de membranas porosas de
nanomateriales en agregados macroscópicos útiles que muestran una policarbonato o mica mediante membranas grabadas por vía nuclear [5. 109 ].
serie de propiedades físicas deseadas [5. 104 ]. El ADN consta de dos Esta técnica se basa en el paso de fragmentos de desintegración de alta
hebras, que se enrollan entre sí para formar una doble hélice. Cuando las energía de una fuente radiactiva a través de un material dieléctrico. Las
dos hebras se desenrollan, quedan hebras singulares de nucleótidos. partículas dejan huellas dañadas químicamente activas que posteriormente
Estos nucleótidos consisten en un azúcar (anillo de pentosa) se pueden grabar para crear poros en todo el espesor de la membrana [5. 110
, 111 ]. A diferencia de los otros métodos, la separación de los poros y, por
un fosfato (PO 4), y una base nitrogenada. El orden y la arquitectura de tanto, la densidad de los poros son independientes del tamaño de los poros.
estos componentes son esenciales para la La densidad de poros solo se determina mediante el proceso de irradiación.
estructura adecuada de un nucleótido. Por lo general, se encuentran
cuatro nucleótidos en el ADN: adenina ( UNA ), Guanina ( GRAMO ), Citosina
( C ) y Thyamine ( T ). Una propiedad clave de la estructura del ADN es que
los nucleótidos descritos se unen específicamente a otro nucleótido
cuando se disponen en la doble hélice de dos hebras (A a T y C a G). Esta Después de la fabricación de la plantilla, los espacios intersticiales
capacidad de unión específica se puede utilizar para ensamblar material (en el caso de esferas coloidales) o poros (en el caso de membranas de
nanofásico y nanoestructuras [5. 105 ]. Por ejemplo, las nanopartículas de alúmina y policarbonato) en la plantilla se rellenan con el material
oro funcionalizadas con nucleótidos se han ensamblado en estructuras deseado [5. 91 , 112 ]. Esto se puede hacer usando una variedad de
tridimensionales complejas uniendo cadenas de ADN al oro mediante un técnicas de deposición como galvanoplastia y CVD . La estructura final
habilitador o enlazador [5. 106 ]. En otro trabajo, se utilizó ADN para puede ser un compuesto de nanoplantilla y material depositado.

Parte A 5.3
ensamblar nanopartículas en materiales macroscópicos. Este método
utiliza alcano ditiol como molécula enlazadora para conectar la plantilla de
ADN a la nanopartícula. Los grupos tiol en cada extremo de la molécula
enlazadora se unen covalentemente a las partículas coloidales para formar
A1 2 O 3
estructuras agregadas [5. 107 ].
membrana
después de Ag
evaporación
e inicial
Ag electro

[íl� íl íl íl íl íl íl íl íl íl íl� íl íl íl íl íl íl
declaración
Fabricación de plantillas
1. Au ++ e - → Au Coulomb (C) 1. Au ++ e - → Au C
La fabricación de plantillas se refiere a un conjunto de técnicas que se pueden utilizar2.para
Ag ++fabricar estructuras orgánicas
e - → Ag C o inorgánicas en 2.3DAga ++ e - → Ag 2 C
3. Au ++ e - tamaño
partir de una nanoplantilla. Estas plantillas difieren en material, patrón, tamaño de característica, → Au 2 C general de plantilla y periodicidad.3. Au ++ e - → Au C
4. Ag
Aunque las nanoplantillas se pueden fabricar utilizando litografía por haz de electrones, la ++ e - → Agen serie deCesta técnica prohíbe su
naturaleza
5. Au ++ e - → Au C
aplicación generalizada. El autoensamblaje es la técnica preferida que puede producir nanoplantillas de gran superficie de forma masivamente
paralela. Se han investigado varias nanoplantillas para su uso en la fabricación de plantillas. Estos incluyen esferas coloidales de polímeros,
'
membranas de alúmina y membranas grabadas con nucleartrack. Las esferas coloidales se pueden depositar en una matriz tridimensional

regular utilizando las técnicas descritas en la sección anterior (ver Figs. 5.54 - 5.56 ). Se pueden fabricar membranas porosas de óxido de aluminio Figura
5.58 Síntesis de nanopartículas de códigos de barras

1. Disolución de la película agrícola


con HNO 3
2. A1 2 O 3 disolución
con NaOH
180 Parte A Nanoestructuras, micro / nanofabricación y micro / nanodispositivos

CdSe y silicio en poliestireno y plantillas de montaje coloidal de sílice [5. 89 ,


una) segundo) 115 ]. Un ejemplo interesante de fabricación asistida por plantillas es la
síntesis de códigos de barras metálicos nanométricos [5. 116 ]. Estos
nano-códigos de barras se preparan mediante la reducción electroquímica
de iones metálicos en los poros de una membrana de óxido de aluminio,
seguida de su liberación mediante el grabado de la plantilla [5. 117 - 119 ].
Este procedimiento se ilustra esquemáticamente en la Fig. 5.58 . Se usa
una película de plata en el reverso como electrodo de trabajo para la
reducción de iones metálicos (plata y oro en este caso) de la solución.
Hasta siete segmentos metálicos diferentes tan cortos como 10 nm y tan
largos como varios micrómetros con 13 distinguibles
1 µm

Figura 5.59 (a) Óptico y ( segundo) Se han fabricado imágenes FE-SEM de rayas de múltiples rayas Au-Ag utilizando esta técnica. Oppartícula 5. 116
La reflexividad tical se utiliza para leer el patrón de rayas codificado en las
partículas metálicas [5. 116 ]. Figura 5.59 muestra imágenes de microscopio
electrónico de barrido de emisión de campo y óptica de un código de nanobarras
ial, o la plantilla se puede grabar de forma selectiva, dando como resultado de múltiples bandas de Au-Ag (bandas de Ag que varían en longitud de 60 a 240
una estructura compleja tridimensional llena de aire. Por ejemplo, níquel [5. 111 nm separadas por segmentos de Au de 550 nm). Estas nanopartículas codificadas
], hierro [5. 113 ] y cobalto [5. 114 ] nanocables se han desarrollado se pueden utilizar en ensayos basados en fl uorescencia y espectrometría de
electroquímicamente en matrices de plantilla porosas. Los cristales fotónicos masas, lo que permite una amplia variedad de mediciones bioanalíticas.
tridimensionales se han fabricado mediante deposición electroquímica de
Parte A 5

Referencias

5.1 SA Campbell: La ciencia y la ingeniería de la fabricación 5.12 U. Gosele, QY Tong: Unión de obleas de semiconductores, Annu. Rev.
microelectrónica ( Universidad de Oxford. Press, Nueva York 2001) Mater. Sci. 28 ( 1998) 215–241
5.13 QY Tong, U. Gosele: Unión de obleas de semiconductores: ciencia y
5.2 CJ Jaeger: Introducción a la fabricación microelectrónica ( Prentice tecnología ( Wiley, Nueva York 1999)
Hall, Nueva Jersey 2002) 5.14 F. Niklaus, P. Enoksson, E. Kalveston, G. Stemme: Unión adhesiva
5.3 JD Plummer, MD Deal, PB Griffin: Tecnología Silicon VLSI ( Prentice de oblea completa sin vacíos, J. Micromech. Microeng. 11 ( 2000)
Hall, Nueva Jersey 2000) 100-107
5.4 JE Bjorkholm: Litografía EUV: el sucesor de la litografía óptica, 5.15 CA Harper: Manual de interconexión y embalaje electrónico ( McGraw-Hill,
Intel Technol. J. 2 ( 1998) 1–8 Nueva York 2000)
5.5 JL Vossen: Procesos de película fina ( Academic Press, Nueva York 5.16 YT Cheng, L. Lin, K. Naja fi: Fusión de silicio localizada y unión
1976) eutéctica para la fabricación y empaquetado de MEMS, J.
5.6 M. Gad-el-Hak (Ed.): El manual de MEMS ( CRC Press, Boca Microelectromech. Syst. 9 ( 1) (2000) 3–8
Raton 2002) 5.17 WH Ko, JT Suminto, GJ Yeh: Técnicas de unión para
5.7 T.-R. Hsu: Diseño y fabricación de MEMS y microsistemas ( McGraw-Hill, microsensores. En: Micromecanizado y microenvasado para
Nueva York 2002) transductores ( Elsevier, Amsterdam 1985)
5.8 GTA Kovacs: Libro de consulta de transductores micromaquinados ( McGraw-Hill,
Nueva York 1998) 5.18 WP Maszara, G. Goetz, A. Caviglia, JB McKitterick: Unión de
5.9 GTA Kovacs, NI Maluf, KA Petersen: Micromecanizado a granel obleas de silicio para silicio sobre aislante,
de silicio, Proc. IEEE 86 ( 8) (1998) 1536–1551 J. Appl. Phys. 64 ( 10) (1988) 4943–4950
5.19 K. Naja fi, KD Wise, T. Mochizuki: una matriz de grabación multicanal
5.10 P. Rai-Choudhury (Ed.): Manual de Microlitografía, compatible con IC de alto rendimiento, IEEE Trans. Dispositivos
Micromecanizado y Microfabricación ( SPIE, Bellingham 1997) electrónicos 32 ( 1985) 1206-1211

5.11 TJ Cotler, ME Elta: Tecnología de grabado con plasma, IEEE Circuits & 5,20 A. Selvakumar, K. Naja fi: una alta sensibilidad z microacelerómetro
Devices Mag. 6 ( 1990) 38–43 capacitivo de silicio de eje con un tor-
Introducción a la micro / nanofabricación Referencias 181

suspensión tional, J. Microelectromech. Syst. 7 ( 1998) 192–200 5.35 N. Tas, T. Sonnenberg, H. Jansen, R. Legtenberg,
M. Elwenspoek: Stiction en micromecanizado de superficies,
5.21 H. Baltes, O. Paul, O. Marca: microsensores CMOS de base J. Micromech. Microeng. 6 ( 1996) 385–397
térmica micromaquinada, Proc. IEEE 86 ( 8) (1998) 1660–1678 5.36 R. Maboudian, RT Howe: Revisión crítica: adhesión en estructuras
micromecánicas de superficie, J. Vac. Sci. Technol. segundo 15( 1) (1997)
5.22 B. Eyre, KSJ Pister, W. Gekelman: Sensores de microbobina 1–20
multieje en CMOS estándar, Proc. SPIE Conf. Dispositivos y 5.37 JH Smith, S. Montague, JJ Sniegowski, JR Murray, PJ McWhorter:
componentes micromecanizados, Austin Dispositivos micromecánicos integrados para la integración
1995, 183–191 monolítica de MEMS con CMOS, Proc. En t. Reunión sobre
5.23 KA Shaw, ZL Zhang, NC MacDonnald: SCREAM: un proceso de dispositivos electrónicos, Washington 1995, 609–612
silicio monocristalino de máscara única para estructuras
microelectromecánicas, Proc. Taller IEEE sobre sistemas 5.38 RS Muller, KY Lau: Elementos y sistemas microópticos
microelectromecánicos, Fort Lauderdale 1993, 155–160 micromecanizados en superficie, Proc. IEEE 86 ( 8) (1998)
1705–1720
5.24 GK Fedder, S. Santhanam, ML Reed, SC Eagle, 5.39 KSJ Pister, MW Judy, SR Burgett, RS Temor: Bisagras
DF Guillo, MSC Lu, LR Carley: microestructuras laminadas de alta microfabricadas: elementos verticales de 1 mm con micromecanizado
relación de aspecto en un proceso CMOS convencional, Proc. Taller de superficie, Proc. 6ta Int. Conf. Sensores y actuadores de estado
IEEE sobre sistemas microelectromecánicos, San Diego 1996, 13-18 sólido, San Francisco 1991, 647– 650

5.25 NC MacDonald: Sistemas microelectromecánicos SCREAM, 5.40 LY Lin, SS Lee, MC Wu, KSJ Pister: Óptica integrada
microelectrón. Ing. 32 ( 1996) 51–55 micromaquinada para interconexión de espacio libre, Proc. Taller
5.26 BP Van Drieenhuizen, NI Maluf, IE Opris, de sistemas microelectromecánicos de IEEE, Amsterdam 1995,
GTA Kovacs: acelerómetro de fuerza equilibrada con resolución mG 77–82
fabricado con unión por fusión de silicio y grabado profundo de iones 5.41 H. Guckel: Micromecanizado de alta relación de aspecto mediante litografía
reactivos, Proc. En t. Conf. Sensores y actuadores de estado sólido, de rayos X profunda, Proc. IEEE 86 ( 8) (1998) 1586–1593
Chicago 1997, 1229–1230
5.42 EW Becker, W. Ehrfeld, P. Hagmann, A. Maner,
5.27 X. Huikai, L. Erdmann, Z. Xu, KJ Gabriel, GK Fedder: Procesamiento D. Munchmeyer: Fabricación de microestructuras con altas relaciones

Parte A 5
post-CMOS para microestructuras de silicio integradas de alta de aspecto y grandes alturas estructurales mediante litografía por
relación de aspecto, J. Microelectromech. Syst. 11 ( 2002) 93–101 radiación sincrotrón, galvanoformado y moldeo de plástico (proceso
LIGA), Microelectron. Ing. 4 ( 1986) 35–56
5.28 JM Bustillo, RS Muller: Micromecanizado de superficies para sistemas
microelectromecánicos, Proc. IEEE 86 ( 8) (1998) 1552–1574 5.43 KY Lee, N. LaBianca, SA Rishton, S. Zolgharnain,
JD Gelorme, J. Shaw, THP Chang: Aplicaciones de micromaquinado de
5.29 HC Nathanson, WE Newell, RA Wickstrom, una fotorresistencia ultragruesa de alta resolución, J. Vac. Sci. &
JR Davis: El transistor de puerta resonante, IEEE Trans. Dispositivos Technol. segundo 13 ( 1995) 3012-3016
electrónicos 14 ( 1967) 117-133
5.30 RT Howe, RS Muller: Vigas micromecánicas de silicio 5.44 K. Roberts, F. Williamson, G. Cibuzar, L. Thomas: La fabricación de una
policristalino, Proc. Electrochem. Soc. Reunión de primavera, serie de microcavidades utilizando material fotorresistente SU-8 como
Montreal 1982, 184–185 tecnología alternativa 'LIGA', Proc. Decimotercera Bienal Universidad /
5.31 JA Geen, SJ Sherman, JF Chang, SR Lewis: giroscopio integrado Gobierno / Industria
micromaquinado de superficie de un solo chip con varianza de Simposio de microelectrónica, Minnesota 1999 (IEEE, Piscataway
Allan de raíz de 50 grados / hora, IEEE J. Circuitos de estado sólido 1999) 139–141
37 ( 2002) 1860-1866 5.45 C. Burbaum, J. Mohr, P. Bley, W. Ehrfeld: Fabricación de sensores de
aceleración capacitivos mediante la técnica LIGA, Sensores y
5.32 PF Van Kessel, LJ Hornbeck, RE Meier, actuadores A 27 ( 1991) 559–563
MR Douglass: una pantalla de proyección basada en MEMS, Proc. IEEE 86 5.46 CG Keller, RT Howe: Bimorfos Hexsil para actuación vertical,
( 8) (1998) 1687-1704 Recopilación de artículos técnicos 8th Int. Conf. Sensores y
5.33 AE Franke, D. Bilic, DT Chang, PT Jones, actuadores de estado sólido y eurosensores
RT Howe, GC Johnson: Integración post-CMOS de IX, Estocolmo 1995, 99–102
microestructuras de germanio, Proc. Micro Electro Mechanical 5.47 CG Keller, RT Howe: Pinzas accionadas térmicamente hexsil rellenas
Systems, Orlando 1999, 630– 637 de níquel, Recopilación de artículos técnicos 8th Int. Conf. Sensores y
actuadores de estado sólido y eurosensores IX, Estocolmo 1995,
5.34 S. Sedky, P. Fiorini, M. Caymax, S. Loreti, K. Baert, 376–379
L. Hermans, R. Mertens: Propiedades estructurales y mecánicas del 5.48 DA Horsley, MB Cohn, A. Singh, R. Horowitz,
germanio de silicio policristalino para aplicaciones de AP Pisano: Diseño y fabricación de un microaccionador angular para
micromecanizado, J. Microelectromech. Syst. 7 ( 1998) 365–372 unidades de disco magnético, J. Microelectromech. Syst. 7 ( 1998)
141-148
182 Parte A Nanoestructuras, micro / nanofabricación y micro / nanodispositivos

5.49 N. Yazdi, F. Ayazi, K. Naja fi: Sensores inerciales micromaquinados, puntos usando ingeniería de deformación, Appl. Phys. Letón. 80

Proc. IEEE 86 ( 1998) 1640-1659 (2002) 326–328


5.50 F. Ayazi, K. Naja fi: Tecnología MEMS de poli (silicio monocristalino) y 5,68 K. Brunner: nanoestructuras de Si / Ge, Rep. Prog. Phys.
poli (HARPSS) combinados de alta relación de aspecto, J. sesenta y cinco ( 2002) 27–72

Microelectromech. Syst. 9 ( 2000) 288-294 5,69 FSS Chien, WF Hsieh, S. Gwo, AE Vladar,
JA Dagata: nanoestructuras de silicio fabricadas mediante el análisis de
5.51 F. Ayazi, K. Naja fi: Un giroscopio de anillo vibratorio de polisilicio la oxidación de la sonda y el grabado con hidróxido de tetrametil
HARPSS, J. Microelectromech. Syst. 10 ( 2001) 169–179 amonio, J. Appl. Phys. 91 ( 2002) 10044–10050

5.52 YS No, F. Ayazi: El proceso HARPSS para la fabricación de 5.70 M. Calleja, J. Anguita, R. García, K. Birkelund,
resonadores electromecánicos de silicio de nano precisión, Proc. 2001 F.Pérez-Murano, JA Dagata: Oxidación a escala nanométrica de
Primera Conferencia IEEE sobre Nanotecnología, 2001, 489–494 superficies de silicio mediante microscopía de fuerza dinámica:
reproducibilidad, cinética y nanofabricación, nanotecnología 10 ( 1999)
5.53 G. Timp: Nanotecnología ( Springer, Nueva York 1998) 34–38
5.54 P. Rai-Choudhury (Ed.): Manual de Microlitografía, 5.71 ES Snow, PM Campbell, FK Perkins: Nanofabricación con
Micromecanizado y Microfabricación ( SPIE, Bellingham 1997) sondas proximales, Proc. IEEE 85 ( 1997) 601–611

5.55 L. Ming, C. Bao-qin, Y. Tian-Chun, Q. He, X. Qiuxia: La tecnología 5.72 H. Sugimura, T. Uchida, N. Kitamura, H. Masuhara: Anodización
de fabricación submicrónica, Proc. 6ta Int. Conf. Tecnología de inducida por punta de superficies de titanio mediante microscopía de
estado sólido y circuito integrado. (IEEE, 2001) 452–455 túnel de barrido: un efecto de la humedad en la nanolitografía, Appl.
Phys. Letón. 63 ( 1993) 1288-1290
5.56 SY Chou: Litografía de nanoimpresión y autoensamblaje
inducido litográficamente, MRS Bulletin 26 5.73 N. Kramer, J. Jorritsma, H. Birk, C. Schonenberger: litografía
(2001) 512–517 nanométrica sobre silicio y silicio amorfo hidrogenado con
5.57 SY Chou, C.Keimel, J. Gu: Impresión ultrarrápida y directa de electrones de baja energía, J. Vac. Sci. & Technol. segundo 13 ( 1995)
nanoestructuras en silicio, Naturaleza 417 ( 2002) 835–837 805–811
5.74 HT Soh, KW Guarini, CF Quate: Litografía con sonda de escaneo ( Kluwer,
5.58 MA Herman: Epitaxia de haz molecular: fundamentos y estado Boston 2001)
actual ( Springer, Nueva York 1996) 5.75 CA Mirkin: nanolitografía Dip-pen: fabricación automatizada de
Parte A 5

5.59 JS Frood, GJ Davis, WT Tsang: Epitaxia de haz químico y arquitecturas de superficie personalizadas multicomponente,
técnicas relacionadas ( Wiley, Nueva York sub-100 nanómetros, MRS Bulletin 26 ( 2001) 535–538
1997)
5.60 S. Mahajan, KS Sree Harsha: Principios de crecimiento y 5.76 LL Sohn, RL Willett: Fabricación de nanoestructuras usando litografía
procesamiento de semiconductores ( McGraw-Hill, Nueva York 1999) basada en microscopio de fuerza atómica,
Apl. Phys. Letón. 67 ( 1995) 1552-1554
5.61 5.77
S. Kim, M. Razegi: avances en estructuras de puntos cuánticos. En: Procesamiento HJ Mamin, BD Terris, LS Fan, S. Hoen, RC Barrett, D. Rugar:
y propiedades de semiconductores compuestos, ed. por R. Willardson, Almacenamiento de datos de alta densidad utilizando técnicas de
HS Navawa (Academic Press, Nueva York 2001) sonda proximal, IBM J. Res. & Dev. 39
(1995) 681–699
5.62 D. Bimberg, M. Grundmann, NN Ledentsov: Heteroestructuras de 5.78 K. Bessho, S. Hashimoto: Fabricación de estructuras a nanoescala en la
puntos cuánticos ( Wiley, Nueva York 1999) superficie de Au con microscopio de túnel de barrido, Appl. Phys. Letón. sesenta
5.63 G. Seebohm, HG Craighead: Litografía y patrones para la y cinco ( 1994) 2142-2144
fabricación de nanoestructuras. En: Dispositivos y tecnologías 5.79 IW Lyo, P. Avouris: manipulación nanométrica a escala atómica
semiconductores cuánticos, ed. por inducida por el campo de superficies de silicio con el STM, ciencia 253
TP Pearsall (Kluwer, Boston 2000) ( 1991) 173-176
5,64 E. Kapon: patrón lateral de heteroestructuras de pozos cuánticos 5.80 MF Crommie, CP Lutz, DM Eigler: Confinamiento de electrones a
por crecimiento en sustratos no planos. En: Microestructuras corrales cuánticos en una superficie metálica, Ciencia 262 ( 1993)
epitaxiales, ed. por AC Gossard (Academic Press, Nueva York 218–220
1994) 5.81 A. de Lozanne: generación de patrones por debajo de 0,1 micrones
5,65 F. Guffarth, R. Heitz, A. Schliwa, O. Stier, por deposición de vapor químico localizado con el microscopio de
NN Ledentsov, AR Kovsh, VM Ustinov, D. Bimberg: Ingeniería de efecto túnel, Japón. J. Appl. Medicamento 33 ( 1994) 7090–7093
deformación de puntos cuánticos de InAs autoorganizados, Phys.
Rev. B 64 ( 2001) 085305 (1) - 085305 (7) 5.82 LA Nagahara, T. Thundat, SM Lindsay: Nanolitografía en
superficies semiconductoras bajo una solución de grabado, Appl.
5.66 M. Sugawara: Puntos cuánticos de InGaAs / GaAs autoensamblados ( Academic Phys. Letón. 57 ( 1990) 270– 272
Press, Nueva York 1999)
5,67 BC Lee, SD Lin, CP Lee, HM Lee, JC Wu, 5.83 T. Thundat, LA Nagahara, SM Lindsay: Estudios de microscopía
KW Sun: Crecimiento selectivo de un solo Quantum InAs de túnel de barrido de semiconductores
Introducción a la micro / nanofabricación Referencias 183

electroquímica, J. Vac. Sci. & Technol. UNA 8 ( 1990) 539–543 5.100 J. Noh, T. Murase, K. Nakajima, H. Lee, M. Hara: Investigación
nanoscópica de los procesos de autoensamblaje de dialquil
5.84 SC Minne, SR Manalis, A. Atalar, CF Quate: litografía paralela disulfuros y dialquil sulfuros en Au (111), J. Phys. Chem. segundo 104
independiente utilizando el microscopio de fuerza atómica, J. Vac. ( 2000) 7411– 7416
Sci. & Technol. segundo 14 ( 1996) 2456–2461
5.101 M. Himmelhaus, F. Eisert, M. Buck, M. Grunze: Autoensamblaje de
5,85 M. Lutwyche, C. Andreoli, G. Binnig, J. Brugger, monocapas de n-alcanotiol: un estudio por espectroscopia de frecuencia
U. Drechsler, W. Haeberle, H. Rohrer, H. Rothuizen, de suma visible IR (SFG), J. Phys. Chem. 104 ( 2000) 576–584
P. Vettiger: Microfabricación y operación en paralelo de arreglos en voladizo
AFM 2D 5 × 5 para almacenamiento de datos e imágenes, Proc. MEMS '98 5.102 AK Boal, F. Ilhan, JE DeRouchey, T. ThurnAlbrecht, TP Russell, VM
(1998), págs. 8-11 Rotello: Autoensamblaje de nanopartículas en estructuras
5,86 GM Whitesides, B. Grzybowski: Autoensamblaje en todas las escalas, esféricas y agregados en red, Naturaleza 404 ( 2000) 746–748
Ciencia 295 ( 2002) 2418–2421
5.87 P. Kazmaier, N. Chopra: Escalas de tamaño puente con materiales 5.103 A. Ulman: Una introducción a los productos orgánicos ultrafinos
supramoleculares autoensamblables, Boletín MRS 25 ( 2000) 30–35 Películas: de Langmuir – Blodgett al autoensamblaje
(Academic Press, Nueva York 1991)
5.88 R. Plass, JA Last, NC Bartelt, GL Kellogg: Patrones de dominio 5.104 E. Winfree, F. Liu, LA Wenzler, NC Seeman: Diseño y autoensamblaje
autoensamblados, Naturaleza 412 ( 2001) 875 de cristales de ADN bidimensionales, Nature 394 ( 1998) 539–544
5.89 YA Vlasov, X.-Z. Bo, JG Sturm, DJ Norris: Autoensamblaje natural en
chip de cristales de banda prohibida fotónicos de silicio, Naturaleza 414 5.105 JH Reif, TH LaBean, NC Seeman: Ensamblaje programable a escala
( 2001) 289–293 molecular: autoensamblaje de redes de ADN, Proc. 2001 IEEE Int.
5,90 C. Gigault, D.-K. Veress, JR Dutcher: Cambios en la morfología de Conf. Robótica y automatización (IEEE, 2001) 966–971
monocapas de microesferas de poliestireno autoensambladas
producidas por recocido, J. Colloid Interface Sci. 243 ( 2001) 143-155 5.106 AP Alivisatos, KP Johnsson, X. Peng, TE Wilson,
CJ Loweth, MP Bruchez Jr, PG Schultz: Organización de 'moléculas
5,91 JC Hulteen, P. Van Duyne: Litografía de nanoesferas: un proceso de fabricación de nanocristales' usando ADN, Naturaleza
general de materiales para superficies de matrices de partículas periódicas, J. 382 ( 1996) 609–611
Vac. Sci. Technol. UNA 13 ( 1995) 1553-1558 5.107 CY Cao, R. Jin, CA Mirkin: Nanopartículas con huellas dactilares

Parte A 5
espectroscópicas Raman para la detección de ADN y ARN, Science 297
5,92 JD Joannopoulos, PR Villeneuve, S. Fan: Cristales fotónicos: dar ( 2002) 1536-1540
un nuevo giro a la luz, Naturaleza 386 5.108 H. Masuda, H. Yamada, M. Satoh, H. Asoh: Arquitectura de matriz de
(1997) 143-149 nanocanales altamente ordenada en alúmina anódica, Appl. Phys.
5,93 TD Clark, R. Ferrigno, J. Tien, KE Paul, Letón. 71 ( 1997) 2770–2772
GM Whitesides: Autoensamblaje dirigido por plantilla de placas 5.109 RL Fleischer: Pistas nucleares en sólidos: principios
hexagonales de 10 µm de tamaño, J. Am. Chem. Soc. y aplicaciones ( Univ. de California Press, Berkeley
124 ( 2002) 5419–5426 1976)
5,94 SA Sapp, DT Mitchell, CR Martin: Uso de micro y nanocables 5.110 RE Packard, JP Pekola, PB Price, RNR Spohr,
sintetizados por plantilla como bloques de construcción para el KH Westmacott, YQ Zhu: Observación de fabricación y ensayo
autoensamblaje de arquitecturas supramoleculares, Chem. Mater. 11 de membranas con poros únicos localizables, Rev. Sci. Instrum. 57
( 1999) 1183-1185 ( 1986) 1654-1660
5,95 Y. Yin, Y. Lu, B. Gates, Y. Xia: Autoensamblaje asistido por plantilla: 5.111 L. Sun, PC Searson, CL Chien: Deposición electroquímica de matrices de
una ruta práctica hacia agregados complejos de coloides nanocables de níquel en películas de mica de cristal único, Appl. Phys.
monodispersos con tamaños, formas y estructuras bien definidos, J. Letón. 74 ( 1999) 2803– 2805
Am. Chem. Soc. 123 ( 2001) 8718–8729
5.112 Y. Du, WL Cai, CM Mo, J. Chen, LD Zhang,
5,96 JL Wilbur, GM Whitesides: Autoensamblaje y autoensamblaje de XG Zhu: Preparación y fotoluminiscencia de membranas de alúmina
monocapas en micro y nanofabricación. En: Nanotecnología, ed. con matrices de poros ordenados, Appl. Phys. Letón. 74 ( 1999)
por G. Timp (Springer, Nueva York 1999) 2951–2953
5.113 S. Yang, H. Zhu, D. Yu, Z. Jin, S. Tang, Y. Du: Preparación y propiedad
5,97 SR Wasserman, YT Tao, GM Whitesides: Estructura y reactividad magnética de la matriz de nanocables Fe,
de monocapas de alquilsiloxano formadas por reacción de J. Magn. Mater. 222 ( 2000) 97–100
alquiltriclorosilanos sobre sustratos de silicio, Langmuir 5 ( 1989) 5.114 M. Sun, G. Zangari, RM Metzger: Arreglos de islas de cobalto con
1074–1087 anisotropía en el plano electrodepositados en alúmina altamente
5,98 CP Tripp, ML Hair: Estudio infrarrojo de la reacción del ordenada, IEEE Trans. Magn. 36 ( 2000) 3005–3008
octadeciltriclorosilano con sílice, Langmuir
8 ( 1992) 1120–1126 5.115 PV Braun, P. Wiltzius: Cristales fotónicos cultivados
5,99 DR Walt: Nanomateriales: diseño funcional de arriba a abajo, electroquímicamente, Naturaleza 402 ( 1999) 603–
Naturaleza 1 ( 2002) 17-18 604
184 Parte A Nanoestructuras, micro / nanofabricación y micro / nanodispositivos

5.116 SR Nicewarner-Pena, RG Freeman, BD Reiss, 5.118 JC Hulteen, CR Martin: un método general basado en plantillas
L. He, DJ Pena, ID Walton, R. Cromer, CD Keating, MJ Natan: para la preparación de nanomateriales,
Códigos de barras metálicos submicrométricos, Ciencia 294 ( 2001) J. Mater. Chem. 7 ( 1997) 1075–1087
137–141 5.119 BR Martin, DJ Dermody, BD Reiss, M. Fang,
5.117 D. Almalawi, CZ Ziu, M. Moskovits: nanocables formados en LA Lyon, MJ Natan, TE Mallouk: Autoensamblaje ortogonal sobre
nanomoldes de óxido anódico, J. Mater. Res. 9 ( 1996) 1014 orillas coloidales de platino-oro, Adv. Mater. 11 ( 1999) 1021-1025
Parte A 5

También podría gustarte