Está en la página 1de 9

Electrónica digital

Fase 0 – Actividad de presaberes

Presentado a:

Tutor

Entregado por:

Grupo: 243004_90

Universidad Nacional Abierta y a Distancia – UNAD


Escuela de ciencias básicas, ingenierías y tecnologías
Electrónica digital
2023
Yopal
Introducción
El presente trabajo trata sobre emplear y analizar algunos conceptos básicos de
circuitos análogos y digitales, de igual manera se hará uso de un software
EdaPlayground en el cual se realizará el diseño y simulación de una compuerta
lógica.
Objetivos
 Identificar las diferencias entre una cantidad analógica y una cantidad digital.

 Contextualizar el curso de Electrónica Digital.

 Identificar las diferentes compuertas lógicas y sus respectivas tablas de verdad.

 Diseñar y simular una compuerta AND en el software EdaPlayground.


 1. Pre-saberes

(a) Describa en forma concisa la principal diferencia entre las cantidades


analógicas y digitales.
La diferencia es que las cantidades analógicas son continuas y pueden tener un
infinito número de valores posibles en un rango, mientras que las cantidades
digitales son discretas y solo pueden tomar un conjunto finito de valores
específicos en un rango.
(b) ¿Cuáles de las siguientes cantidades son analógicas y cuáles son
digitales?
• Un interruptor de diez posiciones: digital, ya que tiene un número limitado en
este caso 10 posiciones.
• La corriente que fluye a través de un contacto eléctrico: analógica, ya que la
corriente eléctrica puede variar continuamente en intensidad.
• La temperatura de una habitación: analógica, la temperatura puede variar
continuamente.
• El medidor de combustible de un automóvil: digital, ya que un medidor de
combustible de un automóvil generalmente muestra la cantidad de combustible en
el tanque en forma de valores discretos.

2. Contexto
(a)Objetivo: Contextualizar el curso de Electrónica Digital Video:
https://youtu.be/fVa9J9p6lu4?list=UUwM51xVkAa6NmzjUXg1jEgQ
• Describa con sus propias palabras qué es una compuerta lógica y que función
cumple en el diseño de los circuitos digitales
Es un dispositivo electrónico que toma una o más señales eléctricas de entrada y
produce una señal de salida correspondiente en una operación lógica; las
compuertas lógicas se utilizan para manejar y procesar señales digitales, lo que
significa que operan con valores lógicos binarios 0 y 1.
• ¿Qué es descripción de hardware HDL y nombre algunos lenguajes de
descripción de hardware?
es una metodología esencial en el diseño de circuitos digitales, que permite
especificar, diseñar y probar sistemas electrónicos a nivel de lenguaje de
programación dedicado para hardware y algunos lenguajes de descripción de
hardware son: VHDL, HDL, MyHDL, Verilog, SystemC,
• ¿Qué es una FPGA?
Field Programable Gate Array, es un tipo de circuito integrado que se puede
programar o configurar para realizar funciones específicas.

(b)Objetivo: identificar las diferentes compuertas lógicas y sus respectivas tablas


de verdad.
El estudiante debe completar la siguiente tabla. Observar el ejemplo de la primera
fila correspondiente a la compuerta AND. De esta forma debe desarrollar las
restantes 6 filas.
Compuert Operación
Esquemático Tabla de verdad
a Lógica
Lógica

AND C= A∗B

OR X =A + B

NOT X =X

NAND X =A∗B
NOR X =A + B

XOR X =A∗B+ A∗B

XNOR X =A∗B+ A∗B

3. Registro y prueba del software EdaPlayGround


Realizar el registro en el software online EdaPlayGround. Las instrucciones para hacer
registro y una prueba de este software se encuentran en el Entorno de
Aprendizaje>Momento intermedio>Componente práctico-Unidad 4-Fase 4- Práctica
simulada. Allí Encontraran dos videos con las orientaciones generales para el primer
acercamiento con la descripción en VHDL.
Fig 1. Impresión de pantalla con la descripción VHDL

Fig 4. Impresión de pantalla simulación generado por el software


Fig 5. Simulación compuerta AND

Fig 6. Simulación

Fig 7. Simulación

Fig 8. Simulación

Fig 9. Simulación
Conclusión
Finalmente, por medio de este trabajo logré conocer e identificar conceptos básicos que

abarca en el curso, sobre las cantidades analógicas y digitales, de igual manera aprender

sobre las diferentes compuertas lógicas junto con sus esquemas, tablas de verdad y su

respectiva operación lógica y poner en práctica una compuerta lógica mediante el uso del

software EdaPlayground.

Referencias bibliográficas
Diaz, B. (2017). Lenguajes de descripción hardware para la síntesis de circuitos: VHDL y
Verilog. Analogías y diferencias. Aplicación a un caso práctico
https://www.google.com/url?
sa=i&rct=j&q=&esrc=s&source=web&cd=&cad=rja&uact=8&ved=0CBsQw7AJahcK
EwiwgImCzZ6BAxUAAAAAHQAAAAAQAg&url=https%3A%2F%2Foa.upm.es
%2F48895%2F1%2FTFG_BLANCA_DIAZ_FERNANDEZ.pdf&psig=AOvVaw309pp
CoMcLDdS-VkDlyhpX&ust=1694365250878944&opi=89978449

Torres, H. (2021). Compuertas lógicas. HeTPro-Tutoriales.


https://hetpro-store.com/TUTORIALES/compuertas-logicas/

Trick, C. (2023). What is an FPGA (Field Programmable Gate Array)? Trenton Systems
Blog. https://www.trentonsystems.com/blog/what-is-an-fpga

También podría gustarte