Está en la página 1de 10

Informe Individual

Fase 0 -Actividad de Presaberes

Julián Ramiro López


1.004.666.222
Grupo: 243004_43

Presentado a: Jorge Andrés Girón Cruz

Universidad Nacional Abierta y a Distancia UNAD


Escuela de Ciencias Básicas Tecnología e Ingeniería
Ingeniería de Telecomunicaciones
Pasto, 16 de febrero de 2022
INTRODUCCIÓN

Con la respectiva actividad a realizar, se dará a conocer algunos aspectos que

diferencian de los temas tratados como son las cantidades análogas y digitales, mediante

diferentes ilustraciones gráficas, el manejo del software Eda Playground para poder

reconocer el Hardware de una compuerta lógica, en la que por medio de diferentes

ejemplos se describirán funciones a través del lenguaje VHDL y su respectiva practica o

simulación; todo esto se podrá llevar a cabo con el apoyo de los diferentes materiales y la

guía del tutor del curso.


OBJETIVOS

 Conocer el respectivo material de las diferentes actividades a realizar.

 Fortalecer el manejo de la plataforma a la hora de navegar por el curso y buscar los

respectivos recursos disponibles de las diferentes tareas.

 Coordinar con el tutor cualquier imprevisto antes de empezar a realizar el curso, con la

finalidad de poder recibir la ayuda necesaria para la búsqueda de la solución más

acertada para el estudiante.

 Conocer las diferencias entre las cantidades análogas y digitales.

 Conocer el funcionamiento de una compuerta lógica y la función que cumplen en el

diseño de los diferentes circuitos digitales.

 Aprender a identificar los diferentes lenguajes de la descripción de hardware y sobre

cual nos enfocaremos más de acuerdo al curso.

 Conocer todo sobre FPGA y así poder identificar su funcionamiento y finalidad.


1. PRESABERES

 Describa en forma concisa la principal diferencia entre las cantidades analógicas y

digitales.

El sistema Analógico sus señales solo admiten los valores infinitos que pueden variar de

forma continua.

El sistema Digital sus señales son discontinuas y es cualquier sistema que permita crear,

decodificar, transmitir o guardar información que se encuentren representada en

cantidades tan restringidas que sus señales de entrada y salida solo admiten valores

discretos.

¿Cuáles de las siguientes cantidades son analógicas y cuáles son digitales?

 Un interruptor de diez posiciones. (Digital)

 La corriente que fluye a través de un contacto eléctrico. (Analógica)

 La temperatura de una habitación. (Analógica)

 El medidor de combustible de un automóvil. (Digital) pero depende del sistema de

medición del vehículo, si es de tipo aguja es Analógica, pero si es de tipo numérica

es de tipo Digital.

2. CONTEXTO

 Describa con sus propias palabras qué es una compuerta lógica y que función cumple

en el diseño de los circuitos digitales.

Son circuitos electrónicos conformados internamente por transistores que se encuentran

con arreglos especiales con los que otorgan señales de voltaje como resultado o una salida

de forma booleana, están obtenidos por operaciones lógicas (suma, resta, multiplicación,

etc.); también niegan, afirman, incluyen o excluyen según sus propiedades lógicas, estas
compuertas se pueden aplicar en otras áreas de la ciencia como mecánica, hidráulica o

neumática.

 ¿Qué es descripción de hardware HDL y nombre algunos lenguajes de descripción de

hardware?

El HDL (Hardware Description Languaje) se define como un lenguaje de programación

especializada que se utiliza para definir la estructura, diseño y operaciones de circuitos

electrónicos y más comúnmente de circuitos electrónicos digitales, como el convertidor

analógico – digital o cualquier antena satelital así los lenguajes de descripción de

hardware hacen posible una descripción formal de un circuito electrónico, y posibilitan su

análisis automático y su simulación. Entre tanto podemos indicar algunos de los lenguajes

de descripción de hardware como son: VHDL, HDL, ABEL, VERILOG, NETLIS, entre

muchos más que se encuentran en el mercado.

 ¿Qué es una FPGA?

EL FPGA por sus siglas en inglés (Field-programmable gate array) y en español traduce:

Matriz de puertas lógicas programables en campo, es un dispositivo que tiene facultades

programables y contiene bloques de lógica cuya conexión y funcionalidad puede ser

configurada en el momento, mediante un lenguaje de descripción especializada.

 Identificar las diferentes compuertas lógicas y sus respectivas tablas de verdad.

El estudiante debe completar la siguiente tabla. Observar el ejemplo de la primera fila

correspondiente a la compuerta AND. De esta forma debe desarrollar las restantes 6 filas.
Compuerta Operación
Esquemático Tabla de verdad
Lógica Lógica

AND
𝑪= 𝑨∗𝑩

OR 𝑸=𝑨+𝑩

NOT ̅
𝑸= 𝑸

NAND 𝑸 = ̅̅̅̅̅̅̅
𝑨∗𝑩

NOR 𝑸 = ̅̅̅̅̅̅̅̅
𝑨+𝑩

XON ̅ +𝑨
𝑸=𝑨∗𝑩 ̅ *B

XNOR 𝑸 = 𝑨 ∗ 𝑩 + ̅̅̅̅̅̅̅
𝑨∗𝑩
3. Registro y prueba del software EDA Playground:

 Realizar el registro en el software online EDA Playground.

DISEÑO Y LA SIMULACIÓN DE UNA COMPUERTA AND

Figura 1. Impresión de pantalla con la descripción de VHDL

Descripción:

 Como podemos observar en la ventana de desing.vhd, de las líneas 1 a la 3 se encuentran

los datos del estudiante, y de la línea 5 a la 25 se cargan las respectivas librerías.

 Como podemos observar en la ventana de testbench.vhd, de la línea 1 a la 3 se encuentran

los datos del estudiante, y de la línea 6 a la 59 se programamos para una compuerta AND.
SIMULACIÓN EDAPLAYGROUND

Figura 2. Impresión de pantalla simulación generado


CONCLUSIONES

En el proceso y desarrollo de esta guía, logre por entender los conceptos de las

diferentes compuertas lógicas, evidenciando sus esquemas y tablas de verdad, apoyándonos en

el uso del software EDA Playground, se aprendió el uso y se pone en práctica el hardware por

medio del ejercicio a desarrollar de una compuerta lógica AND y poder ver gráficamente

como se cumple la tabla de la verdad. De igual formase puede asegurar que en el proceso de

avance del curso se podrá practicar el manejo de esta herramienta, para así poder fortalecer

nuestros conocimientos encaminados a un componente de practica con el apoyo del tutor del

curso y las diferentes guías.


BIBLIOGRAFÍA

Muñoz, J. (2012). Introducción a los Sistemas Digitales: Un enfoque usando


Lenguajes de Descripción de Hardware. (Capítulos 1, 2 y 3, pp. 1-17,19- 33.37-63).

Madrid. https://openlibra.com/en/book/introduccion-a-lossistemas-
digitales#details

Maxinez, D. (2015). Programación de sistemas digitales con VHDL. (Capítulo 1,


pp.1-21). https://elibrone
t.bibliotecavirtual.unad.edu.co/es/ereader/unad/39460?page=1

Rodríguez, O. (2018). Sistemas embebidos con VHDL. Editorial Parmenia,


Universidad La Salle México. (Capítulo 1, pp.55-77). https://elibronet.
bibliotecavirtual.unad.edu.co/es/ereader/unad/183494?page=129

IEEE Std 1076-2008. IEEE Standard VHDL Language Reference Manual.


https://ieeexplore-ieeeorg.
bibliotecavirtual.unad.edu.co/stamp/stamp.jsp?tp=&arnumber=4772740&tag=

García, S. (productor). (2018, Noviembre 15). Fundamentos de Sistemas


Digitales. Repositorio Institucional UNAD. http://hdl.handle.net/10596/2256

Tablas de la verdad de las compuertas lógicas


https://www.logicbus.com.mx/compuertas-logicas.php

Link para el uso del programa https://www.edaplayground.com/

También podría gustarte