Está en la página 1de 34

DISPOSITIVOS Y CIRCUITOS ELECTRÓNICOS ANALÓGICOS

Y DIGITALES
Laboratorio 9: Simplificación de funciones lógicas

Integrantes:

Calsin Humpiri Bryan

Arapa Apaza Brayan

Huamán Ramos Anderson Raúl

Docente:

Aparicio Arias, Pedro Pablo

Sección:

2 ciclo C16 - C

Carrera Profesional:

Mecatrónica Industrial

Fecha de realización:

18 / 05 / 2023

Fecha de entrega:

20 / 05 / 2023

Perú - Lima

TECSUP

1
ÍNDICE
LÓGICA COMBINACIONAL .....................................................................................3
Objetivos ...................................................................................................................3
INTRODUCCIÓN TEÓRICA .....................................................................................3
Lógica combinacional ............................................................................................3
Multiplexor ................................................................................................................3
Generador de paridad ...............................................................................................3
Codificador sin prioridad ...........................................................................................4
Equipos y materiales ................................................................................................4
Preparación ..............................................................................................................4
Procedimiento ...........................................................................................................4
Comparador digital ................................................................................................4
Tabla N°01 ..............................................................................................................5
Detector de igualdad .................................................................................................7
Figura N°02 ............................................................................................................7
Tabla de verdad N°02 ...............................................................................................7
PROCEDIMIENTO:...................................................................................................8
❖ Figura N°03: Generador de paridad par de una señal de 4 bits ..............................10
PROCEDIMIENTO:.................................................................................................12
Multiplexor 2x1 y multiplexor 4x1 ............................................................................14
Figura N°05: Circuito Multiplexor 2x1 simulado en Multisim ....................................14
Tabla de verdad N°05: Circuito Multiplexor 2x1 ......................................................14
PROCEDIMIENTO: ..........................................................................................15
Cuestionario...........................................................................................................17
Figura N°09: Multiplexor 4 a 1 ...............................................................................17
PROCEDIMIENTO:.................................................................................................22
Aplicación de lo aprendido ......................................................................................26
FUNDAMENTO TEÓRICO: ....................................................................................29
RESULTADOS DEL LABORATORIO: ....................... ¡Error! Marcador no definido.
OBSERVACIONES: ................................................................................................30
CONCLUSIONES: ..................................................................................................31
ANEXO ...................................................................................................................32
RÚBRICA................................................................................................................33

2
LÓGICA COMBINACIONAL

Objetivos

✓ Comprobar el funcionamiento de un circuito combinatorio

✓ Mostrar cómo funciona un circuito multiplexor, codificador, comparador


digital 3 Determinar la tabla de verdad de un codificador

INTRODUCCIÓN TEÓRICA

Lógica combinacional

Se denomina lógica combinacional a todo sistema digital en el que sus salidas son
funciones exclusivas del valor de sus entradas en un momento dado, sin que intervengan
en ningún caso estados anteriores de las entradas o de las salidas.

Las funciones (OR,AND,NAND,XOR) son booleanas donde cada función se puede


representar en una tabla de la verdad. Por tanto, carecen de memoria y de
retroalimentación.

Multiplexor

Es un circuito combinacional que selecciona una entrada y la transfiere a la salida. La


selección de la entrada, o dato, se realiza según un conjunto de valores de las variables de
control. Poseen, por tanto, n entradas de selección, para 2n entrada de datos,
proporcionando, generalmente, dos salidas: una para el dato directo y otra para el dato
negado.

Generador de paridad

Son circuitos MSI (Medium Scale Integration) que detectan si en la entrada hay un
número par o impar de “unos”, o sea, detectan la paridad de una palabra digital. Se
basan en la función EX-OR. Su aplicación principal se basa en la transmisión y detección
de códigos en las comunicaciones digitales será cero, cuando el número de bit "unos" que
contienen los datos a transmitir sea un número par, y el bit de paridad será uno cuando los
datos que se mandan contienen un número impar de unos.

3
Codificador sin prioridad

La función principal de este componente es codificar al binario el número de la entrada

activa. El número de entradas tienen que ser 2n. Donde n son los bits de la salida. La

característica de un codificador sin prioridad es que a más de una entrada el sistema se

bloquea, y todas las salidas visualizarán un cero lógico. El funcionamiento interno de este

codificador es similar a un demultiplexor, pero sin entrada de habilitación

Equipos y materiales

✓ Módulo de circuitos digitales

✓ Multímetro

✓ Cables de conexión

✓ Cable de poder

Preparación

El alumno debe revisar su texto de consulta en la sesión 9, así como debe revisar la guía
del laboratorio.

Procedimiento

Comparador digital

Utilizando el módulo de circuitos digitales, realizar el siguiente circuito, donde A y B

son variables de entrada. Considerando todas las combinaciones para las dos variables,

completar la tabla de verdad N° 1.

4
Figura N°01

VDD 5.0 V

S1
U3B

Tecla = A
7408 N
U1D
U2B U2A
7405 N 7405 N
C
7402 N

S2 U3C

Tecla = B 7408 N

Tabla N°01

A B C
0 0 1
0 1 0
1 0 0
1 1 1
Expresión Booleana
C: (A.B)+(A)(B)

PROCEDIMIENTO:

5
6
Detector de igualdad

Mediante el módulo digital realizar el siguiente circuito digital, luego completa la tabla

de verdad del cuadro N° 2 y escribir la función lógica de la función lógica.

Figura N°02

Tabla de verdad N°02

A B C D F1

0 0 0 0 1

0 0 1 1 0

1 1 0 0 1

1 1 1 1 1

7
Expresión Booleana
F1:

PROCEDIMIENTO:

8
9
Generador y detector de paridad par

Para la presente práctica de laboratorio utilizar el módulo de circuitos digitales y como

software de simulación y comprobación Multisim. Realizar los siguientes circuitos y

completar las tablas de verdad 3 y 4. Luego escribir la expresión booleana.

❖ Tabla de verdad N°03:


❖ Figura N°03: Generador de Generador de paridad par de
paridad par de una señal de una señal de 4 bits Figura N°04:
4 bits Detector de paridad par de una
señal de 4 bits

VDD 5.0 V

S1

Tecla = A U3A

S2
7486 N

Tecla = B U1C

S3
7486 N
E
Tecla = C U3B

S4
7486 N

Tecla = D

❖ Tabla de verdad N°04:


Detector de paridad par de una
señal de 4 bits

A B C D E A B C D E F

0 0 0 1 0 0 0 0 0 1 1

0 0 1 0 0 0 0 1 1 1 0

10
0 1 0 0 0 1 1 0 0 1 0

1 0 0 0 0 1 0 0 1 1 0

1 1 1 0 0 0 1 1 0 1 0

1 1 0 1 0 1 0 1 0 1 0

1 0 1 1 0 0 1 0 1 1 0

0 1 1 1 1 1 1 1 1 1 1

: : : :

Expresión Booleana Expresión Booleana


F:
E:

4.1

4.2

Figura N°07: Circuito Detector de paridad par de una señal de 4 bits

implementado en el módulo de circuitos digitales:

11
PROCEDIMIENTO:

4.1

12
4.1

13
3. Multiplexor 2x1 y multiplexor 4x1

Realizar el siguiente circuito digital mediante el módulo digital y complete la tabla de


verdad correspondiente, también simule el circuito y compare los resultados. A, B son
entradas de datos y S es la entrada de selección.

Figura N°05: Circuito Multiplexor 2x1 simulado en Multisim


VDD 5.0 V
A U2A

Tecla = A
7408 N
U1A U3A
7404 N

S 7432 N
Z
Tecla = S U2B

B
7408 N

Tecla = B

Tabla de verdad N°05: Circuito Multiplexor 2x1

A B S Z S Z
0 0 0 0 0 A
0 0 1 0 1 B
0 1 0 0
0 1 1 1
1 0 0 1
1 0 1 0
1 1 0 1
1 1 1 1
Expresión Booleana
Z = (A*S) + (S*B)

14
PROCEDIMIENTO:

15
16
3.1. Cuestionario

1. ¿Qué sucede si en las dos entradas A y B se aplican señales de clock de


frecuencia diferente y con la entrada S pasa de nivel “L” a nivel “H” y
viceversa?
• Puede que varie por que cada una de las entradas está diseñada de distintas

formas, pero en ciertos casos es igual o similar.

2. ¿Qué utilidades le puede dar a este circuito?

• Podría ser utilizado en el funcionamiento de fotorreceptores en el

encendido o apagado o también en la segunda secuencia de un aviso.

3. Simular el siguiente circuito digital y complete la tabla de verdad, las

entradas son C1, C2, C3, C4 y las entradas de selección son A y B

Figura N°09: Multiplexor 4 a 1

17
PROCEDIMIENTO:

18
19
Tabla de verdad N°06: Multiplexor 4 a 1

A B C4 C3 C2 C1 Z

0 0 X X X 0 0

0 0 X X X 1 1

0 1 X X 0 X 0

0 1 X X 1 X 1

1 0 X 0 X X 0

1 0 X 1 X X 1

1 1 0 X X X 0

1 1 1 X X X 1

Expresión Booleana

Z = (C1(A)(B)) * (C2(A)(B) * (C3(A)(B)) * (C4(A)(B))

20
4. Simular el siguiente circuito codificador de teclado

Figura N°10: Codificador de teclado

5 ¿Qué sucede si manteniendo pulsado un número se pulsa otro? ¿y si se pulsan dos


a la vez?

El display los reconoce como si estuvieran pulsados y funcionan de manera que en el


segmento aparece lo indicado.

6 ¿Qué número aparece en el display cuando no se pulsa ninguno? ¿se puede


considerar a esto como una anomalía del circuito?

Aparece una 7 y no es una anomalía es la función lógica que entregan las compuestas al
display.

7 ¿Puedes proponer alguna mejora? ¿Cuál?

Se le podría implementar un Arduino para que los números avanzan cada un segundo
de forman ascendente y así poder verificar su integración.

21
PROCEDIMIENTO:

22
23
24
25
Aplicación de lo aprendido

a. En el circuito mostrado, obtenga su tabla de verdad:

Figura N°13: Circuito a simular

VDD 5.0V

Tecla = X
U1A

Y 7432N U3A

Tecla = Y
U1B F
74ALS11AM

Z U2A
7432N

Tecla = Z 7404N

26
PROCEDIMIENTO:

27
Tabla de verdad de la Figura N°14

X Y Z F
0 0 0 0
0 0 1 0
0 1 0 1
0 1 1 0
1 0 0 0
1 0 1 0
1 1 0 1
1 1 1 0
Expresión Booleana
F: (X + Y + Z) (Y+Z) (Z)

28
FUNDAMENTO TEÓRICO:

Simplificación de funciones lógicas es un proceso importante en el diseño de circuitos

digitales. Consiste en reducir una función lógica compleja a una forma más simple y fácil

de implementar. Esto se logra mediante la eliminación de términos redundantes, la

identificación de patrones comunes y la aplicación de reglas algebraicas y lógicas.

La simplificación de funciones lógicas tiene varias ventajas, incluyendo la reducción del

número de puertas lógicas necesarias para implementar una función, lo que a su vez

reduce el costo y la complejidad del diseño. Además, una función simplificada puede ser

más fácil de entender y depurar, lo que simplifica el proceso de mantenimiento y

reparación.

29
OBSERVACIONES:

➢ Se observó que la manipulación del display funciona con la lógica de

las compuertas estas cumplen con la función de encender el led y el

display.

➢ Al usar compuertas se pudo observar que cada una de ellas cumplen

con la función y estas se pueden modificar sin ningún problema si se

conecta con otra compuerta lógica.

➢ La combinacional lógica se basa en las funciones Booleanas, que son

expresiones matemáticas que definen la relación entre las entradas y

salidas de un circuito. Estas funciones se representan mediante tablas

de verdad y se combinan utilizando puertas lógicas, como AND, OR

y NOT.

30
CONCLUSIONES:

➢ Concluimos que el uso de proteus facilitó la simulación de las

compuertas y las respuestas que presentan al conectar distintas entre

sí.

➢ El uso de las compuertas para el display nos ayudó entender un poco

mejor sonbre su función y el uso que se le puede dar a los

codificadores.

➢ Los circuitos lógicos combinacionales no tienen elementos de

memoria, lo que significa que su salida solo depende del estado actual

de las entradas.

➢ El objetivo es obtener una representación más simple y eficiente de la

función lógica sin cambiar su comportamiento lógico.

31
ANEXO

32
RÚBRICA

33
REFERENCIAS BIBLIOGRÁFICAS:

➢ Brown, S. D., & Vranesic, Z. G. (2018). Fundamentals of digital logic with Verilog

design. McGraw-Hill Education.

➢ Lee, S. H., & Moon, J. (2001). Simplification of Boolean functions: a review and

recent advances. Journal of Systems Architecture, 47(7), 557-565.

➢ uv.es( ). Curso de electronica digital. https://www.uv.es/marinjl/electro/digital1.html

➢ portaleso(2015).Electronica Digital - PortalEso.

http://www.portaleso.com/web_digital/digital_indice.html

34

También podría gustarte