Está en la página 1de 10

Paso 0 – Actividad de Presaberes

Estudiantes
HENRY ALONSO GIL RODRÍGUEZ Cód: 1056804005

243004- Electrónica Digital


Grupo - 28

Presentado a
ANDRES DAVID SUAREZ

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA


28 de Agosto de 2022
INTRODUCCIÓN
En el presente trabajo se realiza un análisis de conocimientos previos sobre conceptos básicos de
electrónica digital como lo son cantidades digitales analógicas con ejemplos de estas, así mismo se
contextualizan conceptos como que es una compuerta lógica y una breve descripción de algunas
compuertas lógicas en donde se muestra su respectiva tabla de verdad su diagrama esquemático y
su operación lógica, que es una FPGA, que es hardware HDL, adicionalmente se realiza un ejercicio
práctico en el que se hace una compuerta lógica en HDL con lenguaje VHDL.

OBJETIVO GENERAL

Presentar y Emplear conocimientos en la revisión y análisis de conceptos básicos de circuitos analógicos,


circuitos digitales y uso de herramientas computacionales para evaluar la base de conocimiento con el fin
emprender en el curso de Electrónica Digital

Objetivos específicos

 Desarrollar habilidades de interpretación de compuertas lógicas.

 Diferenciar los diferentes tipos de diagramas esquemáticos, y tablas de verdad.

 Entender la aplicación de los distintos métodos y lenguajes de descripción de hardware.

Actividad 1 Presaberes

1. a) Describa en forma concisa la principal diferencia entre las cantidades analógicas y digitales.
Las cantidades digitales están representadas por valores discretos, los cuales pueden variar de forma fija
mientras que las cantidades análogas pueden variar de forma continua, un ejemplo de cantidades
digitales podría ser el sistema binario ya que este solo tiene dos valores fijos, o la cantidad de carros en
un parqueadero; mientras que las análogas son por ejemplo el peso de una persona 56,5 kg
b) ¿Cuáles de las siguientes cantidades son analógicas y cuáles son digitales?

• Un interruptor de diez posiciones. Digital


• La corriente que fluye a través de un contacto eléctrico. Análoga
• La temperatura de una habitación. Análoga
• El medidor de combustible de un automóvil. Análoga
Actividad 2 Contexto

a) Objetivo: Contextualizar el curso de Electrónica Digital Video:


https://youtu.be/fVa9J9p6lu4?list=UUwM51xVkAa6NmzjUXg1jEgQ
 Describa con sus propias palabras qué es una compuerta lógica y que función cumple
en el diseño de los circuitos digitales
Son integrados electrónicos diseñados con la función específica de controlar las señales para conseguir el
comportamiento exacto entre las mismas, estos están compuestos por transistores en diferentes configuraciones
y dependiendo su ubicación y configuración realizan una operación diferente.
 ¿Qué es descripción de hardware HDL y nombre algunos lenguajes de descripción
de hardware?
La traducción de sus siglas es Lenguaje de Descripción del Hardware HDL, este lenguaje se usa para estructurar
la forma en que va a funcionar un circuito mediante la programación, uno de los lenguajes mas usados es el de
VHDL, seguido por Verilog y ABEL
 ¿Qué es una FPGA?
Es un dispositivo electrónico que permite programar y ejecutar dichos códigos de programación por medio de
una matriz de bloques configurables los cuales se pueden conectar entre si por medio de segmentos de pista y
conmutadores, básicamente en una FPGA se programan conmutadores para conectar bloques y además de esto
configurarlos.

b) Objetivo: identificar las diferentes compuertas lógicas y sus respectivas tablas de


verdad.

El estudiante debe completar la siguiente tabla. Observar el ejemplo de la primera fila


correspondiente a la compuerta AND. De esta forma debe desarrollar las restantes 6 filas.

Compuerta Operación
Esquemático Tabla de verdad
Lógica Lógica
Entrada Entrada Salida
A B C
0 0 0
AND 0 1 0 𝑪= 𝑨∙𝑩
1 0 0
1 1 1
Entrada A Entrada B Salida C
OR
0 0 0 𝑪= 𝑨+𝑩
0 1 1
1 0 1
1 1 1
NOT Entrada Salida
A  Ā
0 1 A= Ā
1 0
NAND Entrada Entrada Salida C= Ā∗B
A B C
0 0 1
0 1 1
1 0 1
1 1 0
XOR Entrada Entrada Salida C=( Ā∗B )+( Ā∗B)
A B C
0 0 0
0 1 1
1 0 1
1 1 0
XNOR Entrada Entrada Salida C=( A∗B )+( Ā∗B)
A B C
0 0 1
0 1 0
1 0 0
1 1 1

Actividad 3 Registro y prueba del software EdaPlayGround

Realizar el registro en el software online EdaPlayGround. Las instrucciones para hacer registro y
una prueba de este software se encuentran en el Entorno de Aprendizaje>Momento
intermedio>Componente práctico-Unidad 4-Fase 4- Práctica simulada. Allí Encontraran dos
videos con las orientaciones generales para el primer acercamiento con la descripción en VHD

La evidencia que deberán subir en el informe será una impresión de pantalla, donde se muestre el
diseño y la simulación de una compuerta AND (ver video en el Entorno Inicial en la opción
Escenarios Simulados).
Además de la descripción de cada una de las líneas.

Revisar el siguiente video (Introducción a VHDL) antes de diligenciar la tabla:


https://youtu.be/X53K330jGTk
Desarrollo de la compuerta AND en EdaPlayGround

Simulación
Desarrollo:

Líneas implementadas Explicación


Estas son las líneas utilizadas en
Desing.vhd, de la línea 1 a la 6 se
reservó el espacio para comentarios de
autor del trabajo y título del trabajo, de
la línea 8 a la 11, se cargan las
librerías, de la 13 a la 17 se declaran
las variables de entrada y salida

En Desing, en la línea 25 se establece


la salida C producto de la operación
AND

En esta parte se crean las señales para


cada una de las entradas y para la
salida
De la línea 34 a la 50 se le asignan los
valores de la tabla de verdad de la
compuerta and para saber el valor de la
salida con cada una de las posibles
combinaciones, adicional esto se hace con
respecto al tiempo para la lectura en la
simulacion

Esta imagen muestra la simulación, cuando


A esta en 0, y B está en 0, la salida C está en
0, según la tabla de verdad.

Esta imagen muestra la simulación, cuando


A esta en 0, y B está en 1, la salida C está en
0, y viceversa según la tabla de verdad.

Esta imagen muestra la simulación, cuando


A esta en 1, y B está en 1, la salida C está en
1, y viceversa según la tabla de verdad.

Participación en el foro del campus virtual


REFERENCIAS BIBLIOGRÁFICAS

Se debe referenciar todas las páginas, libros, artículos que se consulten para el desarrollo de la
actividad, recuerden utilizar las normas APA para ello.

Consultar: https://setienymarincursos.com/cita-apa/
 Muñoz, J. (2012). Introducción a los Sistemas Digitales: Un enfoque usando Lenguajes de
Descripción de Hardware. (Capítulos 1, 2 y 3, pp. 1-17,19-33.37-63). Madrid.
https://openlibra.com/en/book/introduccion-a-los-sistemas-digitales#details
 Maxinez, D. (2015). Programación de sistemas digitales con VHDL. (Capítulo 1, pp.1-21).
https://elibro-net.bibliotecavirtual.unad.edu.co/es/ereader/unad/39460?page=1
 Rodríguez, O. (2018). Sistemas embebidos con VHDL. Editorial Parmenia, Universidad La
Salle México. (Capítulo 1, pp.55-77).
https://elibro-net.bibliotecavirtual.unad.edu.co/es/ereader/unad/183494?page=129
 García, S. (productor). (2018, Noviembre 15). Fundamentos de Sistemas Digitales.
Repositorio Institucional UNAD. http://hdl.handle.net/10596/2256
 Jiménez, M. T. (2020). Compuertas Lógicas.
Vallejo, M. L., & Rodrigo, J. A. (2004). FPGA: Nociones básicas e
implementación. Laboratorio de Diseño Microelectrónico, 4◦ Curso, P94.

También podría gustarte