Está en la página 1de 8

INFORME DE DESARROLLO EN VHDL

DECODIFICADOR


1. INTRODUCCIN

En este informe vamos a desarrollar el tema de los Decodificadores, haciendo alusin al circuito
74LS48, donde se dar a conocer su funcionamiento y su utilizacin con un Display ctodo y nodo
de 7 segmentos.
Aqu se realizara la simulacin de circuitos lgicos, usando las funciones del decodificador y
comprobando as que el funcionamiento es correcto. Implementar el lenguaje VHDL para codificar
los procesos lgicos que el programa debe interpretar para su posterior compilacin y as
comprobar los resultados de las funciones.
Quartus II provee aplicaciones para la entrada de diseo, sntesis lgica, simulacin lgica,
ubicacin y conexionado, anlisis temporal, administracin de potencia y programacin de
dispositivos, junto con una variedad de utilitarios y aplicaciones adicionales para el diseo lgico
programable. En esta prctica se presenta al programa Quartus II Web Edition v9.0 de Altera Corp.


2. OBJETIVOS

Manejar adecuadamente el software para implementacin de circuitos digitales utilizando
Lenguaje Descriptor de Hardware (VHDL).

Utilizar Quartus II Web Edition 9.0 como herramienta CAD para crear proyectos y editar cdigos
VHDL de circuitos lgicos simples, y luego compilarlos y simularlos para comprobar su
funcionamiento.

Sacar las conclusiones respectivas que se puedan obtener al realizar este circuito, para poder
interpretarlo de una forma ms clara y concisa.


3. ENUNCIADO

Dada la teora con respecto al tema Decodificador, realizar el circuito que dado el numero en
binario, este se pueda observar en el display, en este caso utilizando los Display ctodo y nodo.


4. MATERIALES

Herramienta Software Altera Quartus II 9.0 Web Edition
Computador con Software instalado.
5. MARCO TEORICO


DECODIFICADOR

En un sistema digital, como puede ser nuestro PC, se pueden transmitir tanto Instrucciones como
nmeros mediante niveles binarios o trenes de impulsos. Si, por ejemplo, los cuatro bits de un
mensaje se disponen para transmitir rdenes, se pueden lograr 16 instrucciones diferentes, esto
es lo que denominbamos, informacin codificada en sistema binario. Otras veces nos interesa
que un conmutador de varias posiciones pueda funcionar de acuerdo con este cdigo, es decir,
para cada uno de los diecisis cdigos debe ser excitada una sola lnea. A este proceso de
identificacin de un cdigo particular se le denomina decodificacin.

Dicho de otra manera, un decodificador realiza la funcin opuesta a la de codificar, es decir,
convierte un cdigo binario de varias entradas en salidas exclusivas. Podemos distinguir dos tipos
bsicos de decodificadores: los excitadores y los no excitadores. En el primero de los casos
tenemos, por ejemplo, aquellos cuya misin es convertir el cdigo BCD de sus entradas al formato
de salida necesario para excitar un visualizador numrico o alfanumrico.


DISPLAY 7 SEGMENTOS

El decodificador de BCD a siete segmentos es un circuito combinacional que permite un cdigo
BCD en sus entradas y en sus salidas activa un display de 7 segmentos para indicar un dgito
decimal.

El display est formado por un conjunto de 7 leds conectados en un punto comn en su salida.
Cuando la salida es comn en los nodos, el display es llamado de nodo comn y por el contrario,
s la salida es comn en los ctodos, llamamos al display de ctodo comn. En la figura siguiente,
se muestran ambos tipos de dispositivos. En el display de ctodo comn, una seal alta encender
el segmento excitado por la seal. La alimentacin de cierta combinacin de leds, dar una imagen
visual de un dgito de 0 a 9.








DECODIFICADOR BCD DE 7 SEGMENTOS

El decodificador requiere de una entrada en cdigo decimal binario BCD y siete salidas conectadas
a cada segmento del display. La figura representa en un diagrama de bloques el decodificador de
BCD a 7 segmentos con un display de ctodo comn.




Suponiendo que el visualizador es un display de ctodo comn, se obtiene una tabla cuyas
entradas en cdigo BCD corresponden a A, B, C y D y unas salidas correspondientes a los leds que
se encenderan en cada caso para indicar el dgito decimal.


RESULTADO EN EL DISPLAY





6. DESARROLLO

Para desarrollar el decodificador realizamos primero el diseo de la tabla de verdad


CODIGO DE DESARROLLO

library ieee;
use ieee.std_logic_1164.all;
entity Decodificador is
port(
e: in bit_vector(3 downto 0);
s: out bit_vector(6 downto 0)
);
end Decodificador;
architecture conv of Decodificador is
begin
conv: process (e)
begin
case e is
when "0000" => s <= "1111110";
when "0001" => s <= "1100000";
when "0010" => s <= "1011011";
when "0011" => s <= "1110011";
when "0100" => s <= "1100101";
when "0101" => s <= "0110111";
when "0110" => s <= "0111111";
when "0111" => s <= "1100010";
when "1000" => s <= "1111111";
when "1001" => s <= "1110011";
when "1010" => s <= "0001101";
when "1011" => s <= "0011001";
when "1100" => s <= "0100011";
when "1101" => s <= "1001011";
when "1110" => s <= "0001111";
when "1111" => s <= "0000000";
end case;
end process conv;
end conv;


SOLUCION TEMPORAL:

Es una simulacin caracterstica de sistemas ya sintetizados donde se trabaja con circuitos
descritos con primitivas y asociados a una tecnologa de un fabricante. Estas primitivas disponen
de datos temporales. En este caso la simulacin genera formas de onda mucho ms cercanas al
comportamiento final. A partir de una simulacin de este tipo diversos comportamientos tpicos se
manifiestan, como spikes, pulsos,... etc. Hay dos tipos de retardos: inerciales y de transporte. Los
retardos inerciales consisten en que el retardo tiene inercia, y esa ha de ser superada para que el
circuito descrito manifieste su valor a la salida. Por ejemplo, si una puerta tiene un retardo inercial
de 20ns y le llega un pulso en una entrada menor que 20ns, por ejemplo 10ns, este pulso no
tendra suficiente tiempo para vencer la inercia. Este es el retardo por defecto en VHDL.

























SOLUCION FUNCIONAL

Es una simulacin con retrasos en la lgica combinacional nulos, y en la lgica secuencial mayores
que cero, es decir, los tiempos de propagacin de las seales a travs de la lgica se consideran
cero y por tanto solamente se tiene en cuenta su respuesta funcional. Para poder hacer funcionar
la lgica secuencial es imprescindible dotar a los elementos de memoria con un retardo no nulo.
Es fcil ver que pasara en caso de no proceder as. Por ejemplo un registro de desplazamiento.




























RETARDOS

RTL

Los circuitos que se pueden describir mediante una secuencia RTL son aquellos en que se puede
dividir su funcionamiento en una serie de pasos. En cada paso el circuito debe realizar una cierta
funcin que se traduce en la transferencia de unos datos (rea de datos) entre registros y evaluar
ciertas condiciones para pasar al siguiente paso (rea de control).
Esto implica que podemos dividir el circuito en un rea de datos y un rea de control.
El rea de datos establecer las transferencias que se quieren realizar en cada paso, y el rea de
control determinar en qu paso nos encontramos y a qu paso vamos de acuerdo a ciertas
condiciones que se evalan.




CONCLUSIONES

Un decodificador es considerado como el proceso inverso de un codificador, es decir, un traductor
de lenguaje de las maquina al lenguaje de la gente.

VHDL puede ser usado para la descripcin algortmica o funcional de un circuito. Sin necesidad de
conocer la estructura interna de un circuito es posible describirlo explicando su funcionalidad: esto
es especialmente til en simulacin, ya que se permite simular un sistema sin conocer su
estructura interna y sus detalles de implementacin a bajo nivel.


BIBLIOGRAFA

http://www.ladelec.com/teoria/electronica-digital/184-decodificador-bcd-a-decimal

http://es.scribd.com/doc/34793455/38/Decodificadores-BCD-a-Decimal-y-BCD-a-Siete-Segmentos

http://www.virtual.unal.edu.co/cursos/ingenieria/2000477/lecciones/030301.htm

ftp://ftp.ehu.es/cidira/dptos/depjt/Microelectronica/VHDL/Apuntes%20vhdl.pdf

Fundamentos de Lgica Digital, Brown Stephen, Segunda edicin , Mc Graw Hill, 2008, p 338
339.

También podría gustarte