Está en la página 1de 3

1. LAB 2 (20-1) i. Realice la tabla de verdad de las funciones.

Describa un circuito de 3 entradas a, b, c y dos salidas f, Considere entradas activas en alta y salidas
g, cada una de 1 bit que realice las siguientes funciones: activas en baja.
➢ f vale ‘0’ cuando las 3 entradas combinadas ii. Realice una descripción VHDL comportamental.
representan los números 2, 3 y 7. ➢ Crear un nuevo proyecto (circuito hexa) y
➢ g vale ‘0’ cuando las 3 entradas combinadas describir usando VHDL las funciones lógicas
representan los números 1, 4 y 5. obtenidas. Emplear sólo la sentencia with-
Se le solicita lo siguiente para f(a, b, c) y g(a, b, c) select-when.
i. Realizar la tabla de verdad. ➢ Realizar la simulación del circuito e interpretar
ii. Determinar las funciones como PDS estándar. los resultados obtenidos.
iii. Obtener, usando álgebra booleana, las funciones ➢ Realizar la asignación de pines.
como PDS no estándar.
iv. Realice una descripción VHDL estructural. 3. LAB 2 (19-1)
• Describir usando VHDL las funciones lógicas Describa un circuito de 4 entradas E3, E2, E1, E0 y 3
obtenidas. Emplear sólo operadores lógicos salidas, Z2, Z1 y Z0 que realice las siguientes funciones:
(and, or, not, etc.) • Z0 vale ‘1’ cuando los bits representan los números
• Realizar la simulación del circuito e interpretar 1, 3, 5, 9, 11 y 13.
los resultados obtenidos. • Z1 vale ‘1’ cuando bits de entrada representan los
• Realizar la asignación de pines. números 0, 8, 12, 14 y 15.
v. Realice una descripción VHDL comportamental. • Z2 vale ‘1’ solamente cuando las entradas son
• Describir empleando VHDL las funciones números primos (considere primos a partir del
lógicas obtenidas. Esta vez, debe emplear sólo número 2), además del número 1.
la sentencia with-select-when. Se le solicita lo siguiente
• Realizar la simulación del circuito e interpretar i. Realizar la tabla de verdad.
los resultados obtenidos. ii. Determinar las funciones estándar en forma de
sumas de producto.
2. LAB 2 (20-1) iii. Realice una descripción VHDL estructural..
Describa un circuito, de nombre hexa que tenga 1 Emplear sólo operadores lógicos (and, or, not,
entrada A de 4 bits y una salida Z de 7 bits que muestre etc.)
el valor en hexadecimal correspondiente al valor binario • Realizar la simulación del circuito e interpretar
de la entrada A en un visualizador de 7 segmentos. los resultados obtenidos.
• Realizar la configuración del dispositivo y
comprobar los resultados.
iv. Realice una descripción VHDL comportamental.
v. Crear un nuevo proyecto en la ruta indicada, y
describir empleando VHDL las funciones lógicas
obtenidas. Esta vez, debe emplear sólo las
sentencias when-else o with-select-when.
Para implementar en la Tarjeta DE10-Lite, considere que • Realizar la simulación del circuito e interpretar
la misma tiene 6 visualizadores de 7 segmentos y que los resultados obtenidos.
cada uno d ellos usa 8 bits de datos, HEX[7], HEX[6], ….
• Realizar la configuración del dispositivo y
, HEX[1] y HEX[0], activos en baja, sin embargo el bit 7
comprobar los resultados.
(HEX[7]) no se usará porque el mismo corresponde a un
led que permite representar un punto decimal.
4. LAB 2(19-1)
Describa un circuito que tenga 4 entradas A, B, C y D y
que realice la suma aritmética A + BCD y muestre el
resultado en visualizadores de 7 segmentos. Donde BCD
es un número en binario de tres bits. Por ejemplo, si la
entrada es 1101 se debe mostrar en el visualizador de 7
segmentos el número 6.
Implemente el circuito lógico que cumpla la descripción El visualizador de siete segmentos permite mostrar los
anterior. Para esto, se pide que siga los siguientes pasos: números en decimal, para lo cual dispone de 7 LEDS que
se activarán dependiendo del número a mostrar. Para

1
mostrar 3 deberán activarse HEX [0], HEX [1], HEX [2], 11. EX1 (14-2)
HEX [3] y HEX [6]. Los demás deberán estar Realice un circuito usando la menor cantidad de
desactivados. Tener presente que en el caso del sistema compuertas lógicas que tenga como entrada un
a usar DE10 LITE, estas señales se activan en baja. número de 4 bits y una salida que indica si el número
es menor a 7.

12. LAB 2
Diseñe un circuito combinacional con tres entradas y una
salida. La salida es 1 cuando el valor binario de las
entradas es menos que 3, y que es 0 en los demás casos.

13. LAB 2
Implemente el circuito lógico que cumpla la descripción Diseñe un circuito combinacional con tres entradas x,y y
anterior. Para esto, se pide que siga los siguientes pasos: z,y tres salidas, A,B y C. Cuando la entrada binaria es
i. Realice la tabla de verdad de las funciones. 0,1, 2 o 3, la salida binaria es uno más que la entrada. Si
Considere entradas activas en alta y salidas la entrada binaria es 4, 5, 6 o 7, la salida binaria es uno
activas en baja. menos que la entrada.
ii. Realice una descripción VHDL comportamental.
iii. Describir usando VHDL las funciones lógicas
14. LAB 2
obtenidas. Esta vez, debe emplear sólo las
sentencias when-else o with-select-when. Diseñe un circuito combinacional que acepte un número
• Realizar la simulación del circuito e interpretar de tres bits y genere un número binario de salida igual al
los resultados obtenidos. cuadrado del número de entrada.
• Realizar la configuración del dispositivo y
comprobar los resultados. 15. LAB 2
Programe en VHDL el funcionamiento de una caja de
5. EX1 (18-1) seguridad cuya apertura requiere la presión simultánea
La función f (a, b, c) = Σ m (2, 3,6) representa la de tres de cuatro botones (‘a’, ‘b’, ‘c’, y ‘d’). Los botones
especificación de un circuito. Realice la implementación que se deben oprimir son: ‘a’, ‘c’ y ‘d’.
del mismo por medio de compuertas lógicas
16. LAB 2
6. EX1 (18-1) Diseñe un circuito decodificador para el display que se
La función f (a, b, c) =  m(0, 2,7) representa la muestra a continuación:

especificación de un circuito. Se le solicita realizar la


implementación del circuito correspondiente simplificado.

7. EX1 (15-2)
Diseñar un comparador de números sin signo de dos bits
cada uno (A: 𝑎1𝑎0; 𝐵:𝑏1𝑏0) de tres salidas.

8. EX1 (14-2)
17. LAB 2
Escriba en VHDL la implementación de la función
Diseñe el circuito que permita visualizar en un display de
f ( x1,x2,x3) =  m (1,3,7 ) 7 segmentos las letras: H E L P U S

9. EX1 (14-2) 18. LAB 2


Determine la función simplificada de Un circuito digital consta de cuatro entradas y dos
f ( A,B,C ) =  m ( 2,6,8,9,12 ) + d (10,15 ) salidas. Una de las salidas toma el valor lógico “uno” solo
cuando existe mayoría de entradas a “uno”. La otra salida
se activa solo si hay igual número de entradas a “uno”
10. EX1 (14-2) que a “cero”.
Escriba en VHDL la implementación de la función a) Confeccione la tabla de verdad.
f ( x1,x2,x3) =  m ( 0,1,3, 4,5,6 ) b) Realizar la descripción VHDL del circuito.

2
19. LAB 2 d) Realizar la descripción VHDL del circuito generado
Se desea controlar una lámpara empleando tres en la parte c)
interruptores, de forma que solo se encienda cuando esté
activado un solo interruptor o los tres simultáneamente.
Se pide:
a) La tabla de verdad.
b) La función lógica.
c) Realizar un circuito con puertas lógicas que lo
ejecute.

20. LAB 2
Se tiene un circuito que tiene 5 entradas: W, X, Y, Z y E
una salida (todas de un bit), el cual detecta si un número
de 4 bits, formado por WXYZ es impar o no. De ser impar
F = ´1 ´ , de lo contrario F = ´0 ´ , adicionalmente la
entrada E es un habilitador del circuito que determina
cuando el circuito funciona o no, es decir cuando E= ´1 ´
entonces el circuito opera como está descrito
anteriormente, mientras que cuando E = ´0 ´ la salida F
del circuito será F = ´0 ´ . Se le pide desarrollar la tabla
de verdad, la ecuación lógica Booleana, diagrama de
compuertas y descripción VHDL de este circuito.

21. LAB 2
Se requiere diseñar un circuito que permita calcular si un
número de 4 bits es múltiplo de 3 e impar a la vez. Para
esto se solicita que desarrolle la tabla de verdad, la
ecuación lógica booleana, diagrama de compuertas y
descripción VHDL para este circuito.

22. LAB 2
Se requiere diseñar un circuito que permita determinar si
un número de 4 bits que es impar también contiene una
cantidad de “1”. Para esto solicita que desarrolle la tabla
de verdad, ecuación lógico booleana simplificada,
diagrama de compuertas y descripción VHDL para este
circuito.
NOTA: Puede utilizar mapas de Karnaugh para realizar
las simplificaciones.

23. LAB 2
Se tiene un circuito al cual se ingresa un número de 5bits,
adicionalmente se tiene un selector de un bit que permite
cambiar la función del circuito. Si el selector tiene el valor
de ´0´, entonces el circuito debe indicar si el número
ingresado es primo o no. Si el selector vale ´1´ entonces
el circuito debe indicar si el número pertenece a la serie
de Fibonacci. Para ello se le pide realizar lo siguiente:
a) Obtener la tabla de verdad para el circuito.
b) Obtener la expresión o expresiones booleanas
simplificadas para el circuito.
c) Realizar el diagrama de compuertas de función
lógica que genera la menor cantidad de compuertas
lógicas.

También podría gustarte