Está en la página 1de 2

1.

LAB 2
Un circuito digital consta de cuatro entradas y dos salidas. Una de las salidas toma el valor lógico “uno” solo cuando existe
mayoría de entradas a “uno”. La otra salida se activa solo si hay igual número de entradas a “uno” que a “cero”.
a) Confeccione la tabla de verdad.
b) Realizar la descripción VHDL del circuito.

2. LAB 2
Diseñe un circuito combinacional que acepte un número de tres bits y genere un número binario de salida igual al cuadrado del
número de entrada.

3. LAB 2 (20-1)
Describa un circuito, de nombre hexa que tenga 1 entrada A de 4 bits y una salida Z de 7 bits que muestre el valor en
hexadecimal correspondiente al valor binario de la entrada A en un visualizador de 7 segmentos.

Para implementar en la Tarjeta DE10-Lite, considere que la misma tiene 6 visualizadores de 7 segmentos y que cada uno d
ellos usa 8 bits de datos, HEX[7], HEX[6], …. , HEX[1] y HEX[0], activos en baja, sin embargo el bit 7 (HEX[7]) no se usará
porque el mismo corresponde a un led que permite representar un punto decimal.

Implemente el circuito lógico que cumpla la descripción anterior. Para esto, se pide que siga los siguientes pasos:
i. Realice la tabla de verdad de las funciones. Considere entradas activas en alta y salidas activas en baja.
ii. Realice una descripción VHDL comportamental.
➢ Crear un nuevo proyecto (circuito hexa) y describir usando VHDL las funciones lógicas obtenidas. Emplear sólo la
sentencia with-select-when.
➢ Realizar la simulación del circuito e interpretar los resultados obtenidos.
➢ Realizar la asignación de pines.

4. EX1 (14-2)
Escriba en VHDL la implementación de la función f ( x1,x2,x3) =  m ( 0,1,3, 4,5,6)
5. LAB 2 (20-1)
Describa un circuito de 3 entradas a, b, c y dos salidas f, g, cada una de 1 bit que realice las siguientes funciones:
➢ f vale ‘0’ cuando las 3 entradas combinadas representan los números 2, 3 y 7.
➢ g vale ‘0’ cuando las 3 entradas combinadas representan los números 1, 4 y 5.
Se le solicita lo siguiente para f(a, b, c) y g(a, b, c)
i. Realizar la tabla de verdad.
ii. Determinar las funciones como PDS estándar.
iii. Obtener, usando álgebra booleana, las funciones como PDS no estándar.

1
iv. Realice una descripción VHDL estructural.
•Describir usando VHDL las funciones lógicas obtenidas. Emplear sólo operadores lógicos (and, or, not, etc.)
•Realizar la simulación del circuito e interpretar los resultados obtenidos.
•Realizar la asignación de pines.
v. Realice una descripción VHDL comportamental.
• Describir empleando VHDL las funciones lógicas obtenidas. Esta vez, debe emplear sólo la sentencia with-select-
when.
• Realizar la simulación del circuito e interpretar los resultados obtenidos.

6. LAB 2 (19-1)
Describa un circuito que tenga 4 entradas A, B, C y D y que realice la suma aritmética A +BCD y muestre el resultado en
visualizadores de 7 segmentos. Donde BCD es un número en binario de tres bits. Por ejemplo, si la entrada es 1101 se debe
mostrar en el visualizador de 7 segmentos el número 6.
El visualizador de siete segmentos permite mostrar los números en decimal, para lo cual dispone de 7 LEDS que se activarán
dependiendo del número a mostrar. Para mostrar 3 deberán activarse HEX [0], HEX [1], HEX [2], HEX [3] y HEX [6]. Los demás
deberán estar desactivados. Tener presente que en el caso del sistema a usar DE10 LITE, estas señales se activan en baja.

Implemente el circuito lógico que cumpla la descripción anterior. Para esto, se pide que siga los siguientes pasos:
i. Realice la tabla de verdad de las funciones. Considere entradas activas en alta y salidas activas en baja.
ii. Realice una descripción VHDL comportamental.
iii. Describir usando VHDL las funciones lógicas obtenidas. Esta vez, debe emplear sólo las sentencias when-else o with-
select-when.
• Realizar la simulación del circuito e interpretar los resultados obtenidos.
• Realizar la configuración del dispositivo y comprobar los resultados.

También podría gustarte