Está en la página 1de 6

Actividades a desarrollar

Resolver los siguientes ejercicios:

1. Describa en VDHL tres multiplexores utilizando la sentencia with-


select. Los tres multiplexores deben tener un tamaño diferente (2 a
1, 8 a 1, etc.) y cada entrada un número de bits diferente.

a. Una impresión de pantalla de la descripción en VHDL (Ver la


advertencia al final de la guía, con respecto a las
impresiones de pantallas válidos)
2a1

8a1
b. Una impresión de pantalla del resultado (diagrama) de la
simulación, en el cual se debe evidenciar el correcto
funcionamiento del diseño. NO se debe incluir el código VHDL de
la simulación.

8a1
2. Describa en VDHL un decodificador de 3 entradas utilizando la
sentencia with-select.
El diseño debe contener:
a. Una impresión de pantalla de la descripción en VHDL.

b. Una impresión de pantalla de la simulación, en el cual se debe


evidenciar el correcto funcionamiento del diseño.
3. Describa en VDHL un codificador de 4 entradas, sin prioridad,
utilizando la sentencia with-select.
El diseño debe contener:
a. Una impresión de pantalla de la descripción en VHDL.

b. Una impresión de pantalla de la simulación, en el cual se debe


evidenciar el correcto funcionamiento del diseño.
4. Describa en VDHL el circuito que se muestra en la siguiente figura:
a. Utilizando la sentencia with-select.
b. Utilizando la sentencia when-else.

Figura 1

El diseño debe contener:


a. Un pantallazo de la descripción en VHDL.

b. Un pantallazo de la simulación, en el cual se debe evidenciar el correcto


funcionamiento del diseño.

También podría gustarte