Está en la página 1de 8

DISEÑO DIGITAL

CON VHDL

TAREAS

ENERO - JUNIO
2022

1
Índice de contenido
Tareas A1 3

Tareas A2 8

Tareas A3 16

Tareas A4 20

2
DISEÑO DIGITAL
CON VHDL

TAREAS

ENERO – JUNIO
2022

AVANCE 1

3
Elementos del lenguaje

1. ¿Qué significan las siglas VHDL?

2. ¿Por qué VHDL es un lenguaje de propósito específico?, explique

3. Como VHDL es un lenguaje de programación ¿de qué partes consta?


R: Elementos sintácticos, Tipos de datos y Estructuras.

4. ¿Qué elementos sintácticos tiene VHDL y defínalos?

5. ¿Qué es una expresión en VHDL?

6. ¿Qué operadores tiene VHDL?

7. ¿Qué tipo de operandos se pueden usar en VHDL?

8. Para interpretar vectores de bits como "011", números binarios con o sin signo, se usan
operadores relacionales, pero no están en el paquete ieee.std_logic_1164.all, entonces
¿en qué paquete están? R: En el paquete std_logic_arith

9. ¿Cuál es la diferencia entre un operador binario y un operador unario?

Objetos

10. ¿Qué es un objeto en VHDL?

11. ¿Cuántos objetos tiene VHDL?

12. Describa al objeto SEÑAL

13. Describa al objeto ARCHIVO R: Un archivo es un objeto que nos permite la


comunicación del diseño con su entorno exterior.

14. Describa al objeto CONSTANTE

15. Describa al objeto VARIABLE

Declaraciones concurrentes y secuenciales

16. ¿Qué es una declaración concurrente?

17. De las descripciones de hardware ¿cuáles son declaraciones concurrentes?

18. ¿Qué es una declaración secuencial? R: Una declaración secuencial es aquella


declaración que se define en una zona del código ubicada entre process y end process, se

4
ejecuta de manera secuencial es decir en un orden determinado y por lo tanto no
concurrente.

19. Escriba la declaración secuencial de las siguientes máquinas de estado:


a. Máquina de Moore
b. Máquina de Mealy

20. ¿qué es una función en VHDL?

21. ¿Qué es un subprograma en VHDL?

22. ¿Qué es un procedimiento en VHDL?

23. ¿Qué es un paquete en VHDL? R: Es una colección de declaraciones de tipo constantes,


subprogramas, etc. Se utiliza para implementar un servicio o aislar un grupo de elementos
relacionados.

24. Describa los cinco tipos de unidades de diseño en VHDL.

25. Determine cuáles son las unidades de diseño necesarias para realizar un
programa en VHDL.

26. Mencione las unidades de diseño primarias y secundarias.

27. Describa el significado de una entidad y cuál es su palabra reservada.

28. En el siguiente código de declaración de entidad indique:


library ieee;
use ieee.std_ogic_1164.all;
entity sel is port (
x: in stdJogic_vector(0 to 3); f:
out std logic);
end sel;
a) El nombre de la entidad “sel”
b) Los puertos de entrada “x”
c) Los puertos de salida “f”
d) El tipo de dato x: STD_LOGIC_VECTOR y f: STD_LOGIC.

29. Señale cuales de los siguientes identificadores son correctos o incorrectos,


colocando en las líneas de respuesta la letra 'C' o ‘I’, respectivamente.
1lógico con_trol Pagina
Registro 2Suma Desp_pIaza
Nivel architecture 5_uma#
Res t

30. Declare la entidad para la compuerta AND.

5
31. Declare la entidad para el siguiente circuito.

32. Declare la entidad para el circuito que se muestra en la figura, utilice vectores.

33. Declare la entidad para el siguiente circuito que utiliza vectores.

R:

library ieee;
use ieee.std_ogic_1164.all;

entity Promedio is

port ( A,B : in STD_LOGIC_VECTOR (2 DOWNTO 0);


C : out STD_LOGIC_VECTOR (2 DOWNTO 0));

end Promedio;

34. Describa que es una librería en VHDL.

35. Indique el significado de la siguiente expresión: use


ieee.std_logic_1164.all;

36. Declare la entidad del circuito multiplexor de 4:1 mostrado en la figura siguiente,
utilizando la librería: ieee.std_logic_1164.all;

37. Declare la entidad del multiplexor de 4:1 mostrado en la figura del ejercicio
anterior, si cada entrada está formada por un vector de 4 bits.

6
38. Declare la entidad del multiplicador mostrado en el ejercicio utilizando vectores y el
paquete std_logic_1164.

39. Mediante un estilo funcional, programe en VHDL

a. El funcionamiento de una lámpara para código Morse que encienda la luz al


presionar un botón y la apague al soltarlo.
b. El funcionamiento del motor de un ventilador en que el motor gire en un sentido
al presionar el botón 'a' y en dirección contraria al oprimir el botón 'b'.

c. El desplegado en hexadecimal en un display de 7 segmentos, de un número


binario de 4 bits, dado con 4 interruptores de la tarjeta.

40. Mediante el estilo de programación por flujo de datos, programe en VHDL


a. El funcionamiento de un robot en una planta que espera a que se Ilene una
tarima con cuatro cajas antes de llevarla a la bodega de almacenamiento; para
saber si la tarima está lIena cuenta con cuatro sensores, cada uno apunta a
sendas cajas; si hay una caja marca un '1 lógico': si falta, marca un '0 lógico'. Si
falta alguna caja el robot no se puede ir, cuando están las cuatro cajas el robot se
lleva la tarima

b. El funcionamiento de una caja de seguridad cuya apertura requiere la presión


simultánea de tres de cuatro botones (’a', 'b', 'c' y 'd '). Los botones que se deben
oprimir son: 'a', 'c' y ‘d'.

c. El desplegado en hexadecimal en un display de 7 segmentos, de un número


binario de 4 bits, dado con 4 interruptores de la tarjeta.

41. Mediante el estilo de programación estructural, programe en VHDL


a. El problema del apagador de escalera. La función para este problema es c = a b
+ a’b, donde a es el interruptor inferior, b es el interruptor superior y c es el
foco.

b. El funcionamiento de un motor que se enciende con la siguiente ecuación: y = a


b’ + c b’ + a c.

c. El desplegado en hexadecimal en un display de 7 segmentos, de un número


binario de 4 bits, dado con 4 interruptores de la tarjeta.

7
FIN

También podría gustarte