Está en la página 1de 6

GUÍA N° 1 – RECONOCIMIENTO CON LATCH – FLIP FLOP

FACULTAD CURSO AMBIENTE


Ingeniería Circuitos Lógicos Laboratorio de Simulación
Secuenciales Electrónica

ELABORADO POR Alberto Alvarado Rivera APROBADO POR Alberto Alvarado Rivera

VERSIÓN 002 FECHA DE APROBACIÓN 24/05/2023

1. LOGRO GENERAL DE LA UNIDAD DE APRENDIZAJE

Comprende y aplica los principios y fundamentos de la lógica secuencial y el funcionamiento de los


circuitos biestables para implementar funciones lógicas secuenciales básicos.
.

.
2. OBJETIVOS ESPECÍFICOS DE LA PRÁCTICA

• Al final de la sesión el estudiante explora e implementa circuitos electrónicos digitales basados


en circuitos Latch y Flip Flops.

3. MATERIALES Y EQUIPOS

• Software QUARTUS PRIME


• Tarjeta de desarrollo con FPGA (DE1 SoC / De10 litte)

100000I11N Circuitos Lógicos Secuenciales Guía N° 1 – rev0001 Página 1 de 6


4. PAUTAS DE SEGURIDAD

a. El laboratorio cuenta con señalética de prohibiciones, seguridad y emergencia, los cuales deben ser
respetados por docentes y alumnos.
b. Los alumnos deberán llegar puntualmente a la sesión de laboratorio.
c. Durante las actividades prácticas no se permitirá:
• Descortesías hacia los compañeros, instructores, docentes y personal de apoyo.
• Burlas en plena práctica y que se utilice un vocabulario indebido.
• Que los alumnos deambulen de un lado para otro sin motivo y que corran dentro del
Laboratorio.
j. Los alumnos deben maniobrar los equipos de acuerdo a las indicaciones del docente y las
contenidas en esta guía.
m. Todo el grupo de trabajo es responsable por la rotura y/o deterioro del material entregado y/o
equipos del laboratorio durante el desarrollo de las prácticas.
n. Si algún suministro sufriera daño, el grupo de trabajo responsable deberá reponer dicho suministro,
ya que el mismo estuvo bajo su responsabilidad durante el desarrollo de las prácticas.

Referencia: Protocolo de Seguridad para los Laboratorios del Departamento Académico de Sistemas y del Departamento Académico de
Electrónica (Pág. 10 -11).

5. FUNDAMENTO

Un latch (late memory en inglés) es un circuito electrónico biestable asíncrono usado para almacenar
información en sistemas lógicos digitales. Un latch puede almacenar un bit de información, asimismo los
latches se pueden agrupar de tal manera que logren almacenar más de 1 bit, por ejemplo el 'latch quad '
(capaz de almacenar cuatro bits) y el 'latch octal' (capaz de almacenar ocho bits). Los latches son
dispositivos biestables asíncronos que no tienen entrada de reloj y cuyo cambio en los estados de salida
es función del estado presente en las entradas y de los estados previos en las salidas (retroalimentación).
Los latches a diferencia de los flip-flops no necesitan una señal de reloj para su funcionamiento.
Un biestable (Flip-Flop en inglés), es un multivibrador capaz de permanecer en uno de dos estados
posibles durante un tiempo indefinido en ausencia de perturbaciones.1 Esta característica es ampliamente
utilizada en electrónica digital para memorizar información. El paso de un estado a otro se realiza
variando sus entradas. Dependiendo del tipo de dichas entradas los biestables se dividen en:

• Asíncronos: solamente tienen entradas de control. El más empleado es el biestable RS.


• Síncronos: además de las entradas de control posee una entrada de sincronismo o de reloj.

https://es.wikipedia.org/wiki/Biestable
1. Steven H. Strogatz (2001). Nonlinear Dynamics and Chaos. Westview Press. ISBN 9780738204536.

100000I11N Circuitos Lógicos Secuenciales Guía N° 1 – rev0001 Página 2 de 6


6. PROCEDIMIENTO (DESARROLLO DE LA PRÁCTICA)
Pre-Laboratorio

a. ¿Qué es un Latch?
b. ¿Qué es un Flip Flop?
c. Simulación de un circuito: La Figura 1, muestra un circuito LATCH del tipo S-R, con
entradas activas en alta implementado con compuertas lógicas del tipo NOR.

Figura 1 Latch del tipo SR.


Construir el circuito de la Figura 1 , utilizando el software Quartus Prime y luego realizar
la simulación funcional del diseño del circuito, utilizando las señales de estímulo de la figura 2

Obtener la tabla de verdad del circuito experimentalmente y verificarlo con el teórico, anotar los
resultados en la tabla 1.

100000I11N Circuitos Lógicos Secuenciales Guía N° 1 – rev0001 Página 3 de 6


Objetivo A. Identificar un oscilador Colpitts de radiofrecuencia (RF) y medir los voltajes de operación.

Laboratorio

a) Describa mediante lenguaje VHDL el comportamiento de un Flip Flop tipo D sin entradas
asíncronas. Realizar la comprobación mediante diagrama de tiempos VWF

-- Flip Flop tipo D


library ieee;
use ieee.std_logic_1164.all;
Implementar el circuito oscilador Colpitts de la figura 1. El circuito tanque LC consiste en la
inductancia L1 entity flipflop_d
conectada is
en paralelo con la capacitancia de los condensadores C4 y C5 conectados
port(
en serie. La inductancia de la bobina osciladora L1 puede variar desde aproximadamente 300 a 400
clk : in std_logic;
μH girando el tornillo de sintonización
d : en la parte superior de la cubierta metálica. Al girar el tornillo
in std_logic;
en sentido horario se aumenta q la inductancia,
: en tanto que girándolo en sentido contrario se
out std_logic
);
disminuye la inductancia. Al ajustar L1, asegúrese de no forzar el tornillo más allá de su rango normal.
end flipflop_d;
Siempre debe de utilizar una herramienta especial de sintonización llamada neutralizador para
ajustar las inductancias,
architecture debido a queofelflipflop_d
behavioral metal deisun destornillador ordinario afecta la inductancia y
da valores erróneos. La :bobina
signal sq L1 tiene un devanado adicional (entre el terminal D y tierra) que sirve
std_logic;
begin
como acoplamiento de salida para la señal del oscilador. La capacitancia de C4 y C5 en serie es de 98
ffd : process(clk)
pF; pero cuando se agrega begin la capacitancia parásita externa entre las conexiones y las puntas del
circuito, se puede suponer ifque es aproximadamente
rising_edge (clk) then 100 pF. Conecte un puente entre el
condensador de retroalimentación C3sq(punto <= d ;A) y la unión entre C4 y C5 (punto C). Realmente no es
end if;
necesario el condensador C3 de retroalimentación en un oscilador Colpitts, pero no interfiere con la
end process ffd;
operación del oscilador. Todavía no aplique energía al circuito.
end behavioral;

Completar el cuadro mediante las pruebas realizadas

D Q Qn
0
1

Tabla 1. Tabla de verdad del Latch tipo S-R


100000I11N Circuitos Lógicos Secuenciales Guía N° 1 – rev0001 Página 4 de 6
Entradas Salidas
S R
b) Describa mediante lenguaje VHDL el comportamiento de un Flip Flop tipo D con entradas
asíncronas. Construir su tabla y realizar la comprobación mediante diagrama de tiempos VWF y
la grabación en la tarjeta de desarrollo con FPGA.

Post-laboratorio.
Describa mediante lenguaje VHDL el comportamiento de un Flip Flop tipo JK con entradas asíncronas.
Realizar la comprobación mediante diagrama de tiempos VWF y la tarjeta de desarrollo con FPGA

Flip Flop tipo J-K


Completar la tabla con los resultados obtenidos

Entradas Salidas

PRESET CLEAR CLK J K Q

100000I11N Circuitos Lógicos Secuenciales Guía N° 1 – rev0001 Página 5 de 6


7. ENTREGABLES

TAREAS DOMICILIARIAS

- Realizar la simulación funcional y la tabla de funcionamiento de los Flip-Flops del tipo T utilizando
Diferencia entre Latch
el Quartus y Flip Flops
Prime.
https://www.youtube.com/watch?v=m1QBxTeVaNs
- Realizar la simulación funcional y la tabla de funcionamiento de los Flip-Flops del tipo Master
Slave utilizando el Quartus Prime.

8. FUENTES DE INFORMACIÓN COMPLEMENTARIA


• Sistemas embebidos en VHDL – Octavio Rodríguez Torres
https://elibro.net/es/ereader/utpbiblio/183494?page=140

100000I11N Circuitos Lógicos Secuenciales Guía N° 1 – rev0001 Página 6 de 6

También podría gustarte