Está en la página 1de 2

Herramienta de Diseño

Jeremías Leguizamón 2022100381


Tema 1:
Se quiere realizar un circuito para activar la alarma de incendio (A) para la evacuación de un
edificio. Para ello se tiene un sensor de gases(G), un sensor de humos (H), y una señal
procedente de un termómetro que indica si la temperatura es mayor a 60°C (T60).

Debido a que a veces los sensores detectan humos y gases que no siempre proceden de
incendios (Por ejemplo, de los cigarrillos o las cocinas), para evitar falsas alarmas, la señal A se
activará cuando se cumplan las siguientes condiciones:

 Si la temperatura es mayor a 60°C, siempre se activará la alarma.


 Si la temperatura es menor de 60°C, se activará la alarma solo si se detectan gases y
humos.

Resumiendo, las señales binarias de entrada y salida

 G: vale “1” si se detecta gas resultante de la combustión.


 H: vale “1” si se detecta humo.
 T60: vale “1” si la temperatura es superior a 60°C.

A: Se activa a nivel ALTO.

a) Establecer como entrada mas significativa la señal del gas(G) y la menos significativa la
señal del humo(H).
b) Realizar la tabla de verdad de la señal alarma.

G T60 H A
0 0 0 0
0 0 1 0
0 1 0 1
0 1 1 1
1 0 0 0
1 0 1 1
1 1 0 1
1 1 1 1

c) Determinar las características del sistema digital, cantidad de entradas, cantidad de


salidas.

Sistemas Para
H
Activar Alarma A

T60 de Incendios
Cantidad de Entradas:
En este sistema, las entradas son las señales provenientes del sensor de temperatura
(T60), el sensor de gases (G) y el sensor de humos (H). Por lo tanto, la cantidad total de
entradas es 3.

Cantidad de Salidas:
La salida del sistema es la señal de alarma (A), que indica si la alarma debe activarse o
no. Por lo tanto, la cantidad total de salidas es 1.

d) Realizar la ENTIDAD del sistema con la siguiente forma: EP1_Leguizamon_Jeremias


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity EP1_Leguizamon_Jeremias is
Port (
G : in STD_LOGIC;
T60 : in STD_LOGIC;
H : in STD_LOGIC;
A : out STD_LOGIC
);
end entity EP1_Leguizamon_Jeremias;

e) Realizar la ARQUITECTURA del sistema digital.


f) Entregar las líneas de código.
architecture Behavioral of EP1_Leguizamon_Jeremias is
begin
process (G, T60, H)
begin
if T60 = '1' then
A <= '1'; -- Si la temperatura es mayor a 60°C, activar la alarma
Else
if G = '1' and H = '1' then
A <= '1'; -- Si hay gases y humo, activar la alarma
else
A <= '0'; -- En cualquier otro caso, desactivar la alarma
end if;
end if;
end process;
end ARCHITECTURE Behavioral;

También podría gustarte