Está en la página 1de 20

“Año de la unidad, la paz y el desarrollo”

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS


(Universidad del Perú, Decana de América)

FACULTAD DE INGENIERÍA
ELECTRÓNICA Y ELÉCTRICA

CURSO:

LABORATORIO DE CIRCUITOS DIGITALES - L.17

DOCENTE:

ING. OSCAR CASIMIRO PARIASCA

OBJETIVO:

LABORATORIO 08: MEMORIAS RAM Y ROM

ESTUDIANTE:

JOSUE DARWIN ARISTA LOPEZ.


I. OBJETIVO:

1. Analizar el comportamiento de una unidad de memoria RAM y ROM


2. Utilizar los terminales de dirección, control y datos de la memoria para escribir y leer datos.
3. Implementar memorias RAM y ROM usando puertas lógicas convencionales.

II. MATERIALES y EQUIPO :


- Protoboard, cables de conexión.
- CI TTL: 74LS01, 74LS02, 74LS04, 74LS08, 74LS74, 74LS126 ó 74LS367, 74LS244, 74373, 74367 x 2,
74139
ó 74138 ó 74154 , RAM 74LS189, RAM 6116, otros.
- Resistencias : 220 Ω x 8, 330 x 4, 1 K Ω x 12, 470 Ω x 4 , ½ Watt;
. Diodos 1N4001 (ú otro) x 12, Diodos Leds x 12. - Display matriz 5 x 7 ó de 7
segmentos - Microinterruptores x 8 - Protoboard , cables - Fuente C.C. +5 voltios; VOM;
Generador de Pulsos.

III. CUESTIONARIO PREVIO:


1. ¿Que es una unidad de memoria?. Tipos de memoria. Indique en un diagrama de bloques las
diferentes líneas
de datos, de direcciones, de control, etc. Explicar las operaciones de lectura y escritura en una
unidad de
memoria.

Una unidad de memoria es un conjunto de celdas de almacenamiento junto con los


circuitos asociados que se necesitan para ingresar y sacar la información de
almacenamiento.
Tipos de memoria: las dos principales categorías de memorias semiconductoras son las RAM y
ROM.
 Memoria RAM (memoria de acceso aleatorio): Es un tipo de memoria en la que se tarda lo
mismo en acceder a cualquier dirección de memoria y estas se pueden seleccionar en cualquier
orden, tanto en una operación de lectura como de escritura. Todas las RAM poseen la capacidad
de lectura y escritura. Debido a que las memorias RAM pierden los datos almacenados
cuando se desconecta la alimentación, reciben el nombre de memorias volátiles.
 Memorias ROM (memoria de solo lectura): Es un tipo de memoria en la que los datos se
almacenan de forma permanente o semipermanente. Los datos se pueden leer de un ROM, pero
no existe la operación de escritura como en las RAM. Las ROM, al igual que las RAM, es una
memoria de acceso aleatorio, pero, tradicionalmente, el termino RAM se reserva para las
memorias de acceso aleatorio de lectura/escritura. Debido a que las memorias ROM
mantienen los datos almacenados incluso si se desconecta la alimentación, reciben el nombre de
memorias no volátiles.
 Según el tiempo de permanencia: Se refiere al tiempo que permanece la
información grabada en la memoria.
 No volátil: Una vez escrito un punto de memoria, su información no se borra hasta que se
vuelva a escribir sobre él.
 Volátil: La información desaparece si se deja de suministrar energía a la memoria.
 Con refresco: Su información se va degradando paulatinamente a pesar de estar
alimentada, para que sean útiles deben de refrescarse periódicamente.
 Permanente o solo de lectura: Contienen siempre la misma información y no pueden
borrarse, también se les conoce como memorias muertas o pasivas.
 EEPROM (Electrical Erasable Programmable Read Only Memory). Fueron el sustituto natural
de las memorias EPROM, la diferencia fundamental es que pueden ser borradas eléctricamente,
por lo que la ventanilla de cristal de cuarzo y los encapsulados cerámicos no son necesarios. Al
disminuir los costos de los encapsulados, los microcontroladores con este tipo de memoria se
hicieron más baratos y cómodos para trabajar que sus equivalentes con memoria EPROM. Otra
característica destacable de este tipo de microcontrolador es que fue en ellos donde comenzaron
a utilizarse los sistemas de programación en el sistema que evitan tener que sacar el
microcontrolador de la tarjeta que lo aloja para hacer actualizaciones al programa.

 FLASH En el campo de las memorias reprogramables para microcontroladores, son el último


avance tecnológico en uso a gran escala, y han sustituido a los microcontroladores con memoria
EEPROM. A las ventajas de las memorias flash se les adicionan su gran densidad respecto a sus
predecesoras lo que permite incrementar la cantidad de memoria de programas a un costo muy
bajo. Pueden además ser programadas con las mismas tensiones de alimentación del
microcontrolador, el acceso en lectura y la velocidad de programación es superior, disminución
de los costos de producción, entre otras.
DIAGRAMA DE BLOQUES MEMORIA

 Operación de escritura: como se muestra en la imagen. Para almacenar un byte de datos en


memoria, se introduce en el bus de direcciones un código que se encuentra almacenado en el
registro de direcciones. Una vez que el código de dirección ya está en el bus, el decodificador de
direcciones decodifica la dirección y selecciona la posición de memoria especificada. La memoria
recibe entonces una orden de escritura y los datos almacenados en el registro de datos se
introducen en el bus de datos, y se almacenan en la dirección de memoria especificada,
completándose así la operación de escritura. Cuando se escribe un nuevo byte de datos de una
dirección de memoria, se sobrescribe y destruye el byte de datos actualmente almacenado en
esa dirección.
 Operación de lectura: como se muestra en la imagen. De nuevo, se introduce en el bus de
direcciones un código almacenado en el registro de direcciones. Una vez que el código de
dirección se encuentra en el bus, el decodificador de direcciones decodifica la dirección y
selecciona la posición especificada de la memoria. La memoria recibe entonces una orden de
lectura, y una “copia” del byte de datos almacenado en la dirección de memoria seleccionada se
introduce en el bus de datos y se carga en el registro de datos, finalizando así la operación de
lectura. Cuando se lee un byte de datos de una dirección de memoria, este sigue almacenado en
dicha dirección. Esto se llama lectura no destructiva.

CICLO DE LECTURA SRAM


CICLO DE LECTURA DRAM

2. En la figura adjunta se muestra una memoria RAM de 4 x 4 .


Encierre la RAM de 4 x 4 en un diagrama de bloques que
señale todas las entradas y salidas. Suponiendo salidas de tres
estados, construya una memoria de 8 x 8 utilizando cuatro
unidades de RAM de 4 x 4 .
¿Qué pasará con la información guardada en la memoria si se
quita inesperadamente Vcc?
Para lo siguiente tendremos:

Para obtener la memoria que necesitamos, primero uniremos la memoria de 4x4 para crear
una de 4x8:
Ahora procedemos a convertirlo en una de 8x8 y usaremos un decodificador de 1:2

3. Las siguientes unidades de memoria se especifican por el


número de palabras multiplicado por el número de bits por
palabra. ¿Cuántas líneas de dirección y líneas de entrada-salida
de datos se necesitan en cada caso? a) 4K x 16 b) 2G x 8 c)256 x 64

a) 210 = 1024 =1KB, entonces 4KB = 4096


log 4096 122 = . se necesitan 12 líneas de dirección y 16 líneas de entrada/salida de
datos.
b) 230 = 1GB , entonces 2GB = 231
log 312 231= . se necesitan 31 líneas de dirección y 8 líneas de entrada/salida de
datos.
c) 28 = 256
log 256 82 = . se necesitan 8 líneas de dirección y 64 líneas de entrada/salida de
datos.

4. Indique el número de bytes que se almacenan en cada caso anterior.

1 byte= 8 bits.
a) 4096 bits/8 =512 bytes.
b) 231 bits /8 = 256Mbytes
c) 256 bits / 8=32 bytes

5. ¿Cuántos chips de RAM de 32K x 8 se necesitan para tener una capacidad de memoria de 256K
bytes?
¿Cuántas líneas de dirección se necesitan para acceder a 256K bytes? ¿Cuántas de esas líneas
están conectadas
a las entradas de dirección de todos los chips?
¿Cuántas líneas deben decodificarse para las entradas de selección del chip?. Especifique el
tamaño del
decodificador.

a)Los chips de 32K × 8 tienen un ancho de palabra de un byte.


Así pues, 255 K/32 K =218 /215 = 23 =8 chips

b) 256K = 218entonces se necesitan 18lineasdededireccion


A cada chip entran 15 líneas, pues 215 = 32K
c) 18 − 15 = 3 líneas deben decodificarse. Lo más fácil es usar un decodificador de 3-
a-8 como, por ejemplo, el conocido 74138.

6. ¿Qué es una ROM? .Explique. Implementar el siguiente circuito correspondiente a una memoria
ROM básica
de 4 x 2. Explique su funcionamiento.

La , conocida también como (acrónimo en inglés memoria de solo lectura ROM


de ), es un medio de almacenamiento utilizado en orderead - only memory nadores y
dispositivos electrónicos, que permite solo la lectura de la información y no su
escritura, independientemente de la presencia o no de una fuente de energía.

Una ROM (Read-Only Memory) es un tipo de memoria de solo lectura que almacena datos de manera
permanente. A diferencia de las memorias RAM (Random Access Memory) que permiten leer y escribir
datos, una ROM solo puede leerse, es decir, solo se pueden recuperar los datos almacenados en ella.

Una ROM de 4 x 2 es un tipo específico de ROM que tiene 4 direcciones de entrada y 2 bits de salida. Esto
implica que la ROM tiene 4 ubicaciones de memoria, y cada ubicación contiene 2 bits de datos.

El funcionamiento de una ROM de 4 x 2 se basa en los siguientes puntos:

1. Configuración de la ROM:
- La ROM se configura con un tamaño de 4 direcciones de entrada (2 bits de dirección) y 2 bits de salida.
- Cada dirección corresponde a una ubicación específica en la memoria, y en esa ubicación se
almacenan 2 bits de datos.
- Durante el proceso de fabricación de la ROM, se programa con los datos deseados, y una vez
programada, no se pueden realizar cambios en los datos almacenados.

2. Operación:
- La ROM recibe una dirección de entrada de 2 bits que se utiliza para seleccionar una ubicación
particular en la memoria.
- Al seleccionar una dirección, la ROM accede a la ubicación correspondiente y proporciona los 2 bits de
datos almacenados en ella.
- Los bits de salida pueden emplearse para diversos propósitos, como controlar otros componentes en un
sistema o representar información en forma de señales binarias.
Por ejemplo, si la ROM está programada de la siguiente manera:

Dirección 0: 01
Dirección 1: 10
Dirección 2: 00
Dirección 3: 11

Cuando se introduce la dirección 0 (01) en la ROM, se activa la salida correspondiente y se proporcionan


los bits 01. Si la ROM está conectada a otros componentes, los bits de salida se utilizarán para controlar o
transmitir información a dichos componentes.

Es importante destacar que una ROM es de solo lectura, lo que significa que los datos almacenados en
ella no se pueden modificar una vez programados durante la fabricación. Por lo tanto, la ROM de 4 x 2
mantendrá la misma configuración de datos a lo largo de su vida útil.

7. Dado un chip de ROM de 32 x 8 con una entrada de habilitación, indique las conexiones externas
que se
requieren para construir una ROM de 128 x 8 con cuatro chips y un decodificador.

La , conocida también como (acrónimo en inglésmemoria de solo lectura ROM


de ), es un medio de almacenamiento utilizado en orderead-only memory nadores y
dispositivos electrónicos, que permite solo la lectura de la información y no su
escritura, independientemente de la presencia o no de una fuente de energía.

Construcción de una ROM de 128x8


Como se nos indica se harán uso de 4 chips de 32x8, dado que el número de bits es 8
tendrá de D a D0 7

¿Cuántas líneas de dirección necesito?

nst = 128 sabemos que n = ln(¿localidades)/ ln(2)


nst = ln(128)/ ln( 2) = 7 (A0 – A ) bus de direcciones6

chip = 32 Sobran A5 y A6

nst = ln(32)/ln(2) = 5 (A0 – A )4


Esos direccionales sobrantes irán conectados a un decodificador por lo que el
esquema sería el siguiente:

8. Que es una PROM. Indique el modo de operación de la PROM de 8 x 4 mostrado en la figura.


Verifique su
funcionamiento.
Con el circuito descrito, donde se tiene una memoria PROM de 8 x 4 con leds de ánodo común
conectados a resistencias de 330 ohmios aunque en la grafica no lo muestra hay que considerarlo
y una tensión de alimentación de 5V DC, el funcionamiento sería el siguiente:

1. Configuración de la memoria PROM:


- Tamaño: 8 direcciones de entrada (3 bits de dirección) y 4 bits de salida (para controlar los 4
leds).
- Cada dirección corresponde a una ubicación en la memoria PROM que contiene 4 bits de
datos.
- Al estar diseñada como una memoria de solo lectura programable, los datos almacenados en
la PROM no se pueden cambiar después de la fabricación.

2. Configuración de los leds:


- Tienes 4 leds con ánodo común, lo que significa que el ánodo de cada led está conectado a un
suministro común de 5V DC.
- Los cátodos de los leds están conectados a las salidas de la memoria PROM a través de
resistencias limitadoras de corriente de 330 ohmios.

3. Funcionamiento:
- La memoria PROM toma una entrada binaria de 3 bits (0 a 7) que se utiliza para seleccionar
una dirección específica en la memoria.
- Al seleccionar una dirección, la PROM activará la salida correspondiente y proporcionará los 4
bits almacenados en esa ubicación específica.
- Cada bit de salida controlará uno de los leds conectados a través de las resistencias de 330
ohmios.
- Si el bit de salida es '1', se encenderá el led conectado a esa línea de salida.
- Si el bit de salida es '0', el led correspondiente permanecerá apagado.

Por lo tanto, al aplicar una dirección específica a la memoria PROM, los leds conectados a las
salidas que contengan un '1' en ese bit se encenderán, mientras que los leds conectados a las
salidas que contengan un '0' permanecerán apagados.

El estado de los leds dependerá de los datos almacenados en la memoria PROM y de la dirección
seleccionada. Si se desea que los leds muestren un patrón específico,se deberá programar los
datos correspondientes en las direcciones adecuadas durante la fase de fabricación de la
memoria PROM. Una vez programada, esa configuración se mantendrá constante, ya que la
PROM es de solo lectura.

9. Indique el modo de funcionamiento de la memoria SRAM 74LS189 y de la memoria SRAM 6116.


Analizar los ciclos de lectura y de escritura
9.a) Prueba de la RAM 6116 :

RAM 74LS189
El circuito 74189 es la memoria RAM de 16x4 bits, encargada de guardar los datos y trabajar con
estos. La matriz de memoria consta de 64 cerraduras organizadas como 16 (2 ^ 4) palabras de 4
bits cada una, accesibles a través de líneas de entrada y salida separadas.
Una entrada de dirección de 4 bits selecciona una de las 1 6 palabras de memoria.

1 /A0 Entrada del Dirección 0 (Select Input A)


2 /CS Activación de Memoria (Lógica negativa)
3 /WE Activación de Escritura (Lógica negativa)
4 / D1 Entrada de Datos

5 /O1 Salida de datos 1 (Lógica negativa)


6 /D2 Entrada de Datos 2
7 /O2 Salida de datos 2 (Lógica negativa)
8 (-) Alimentación de Tierra
9 /O3 Salida de datos 3 (Lógica negativa)
10 /D3 Entrada de Datos 3
11 /O4 Salida de datos 4 (Lógica negativa)
12 /D3 Entrada de Datos 3
13 /A3 Entrada del Dirección 3 (Select Input D)
14 /A2 Entrada del Dirección 2 (Select Input C)
15 /A1 Entrada del Dirección 1 (Select Input B)
16 /+Vcc Alimentación de Corriente

Modo de funcionamiento:
El comportamiento del circuito 74189 está controlado por sólo dos líneas de control de baja activa,
a saber, las entradas de selección de chip y lectura/escritura:
 NCS = 1: las salidas de datos están tri-declaradas y la señal de reloj para los pestillos de la
matriz de memoria están desactivados.
 NCS = 0, Read / Write = 1: las salidas de datos están activadas y activadas con el contenido de
la palabra de memoria actualmente dirigida. Cuando se cambia la entrada de dirección, el
contenido de la palabra de memoria recién seleccionada aparecerá en las salidas de datos,
retrasadas por el tiempo de acceso a la memoria.
 NCS = 0, Read / Write = 0: se habilita la señal de reloj de los bloqueos de memoria actualmente
dirigidos, de modo que los valores en el bus de entrada de datos se copian en la palabra de
memoria seleccionada (cerraduras transparentes). Además, las salidas de datos están
habilitadas.
Cambie la señal Read / Write de nuevo al estado alto (1) para almacenar los datos.

RAM 6116

El dispositivo 6116 es una memoria de acceso aleatorio, Random Acces Memory (RAM),
cuenta con una capacidad de 2048 palabras de 8 bits cada una, es una memoria estática de alta
velocidad, está fabricada con la tecnología CMOS, opera con una fuente de alimentación de +5.0
Volts y está dispuesta en una pastilla de 24 terminales.
CARACTERÍSTICAS DE LA MEMORIA RAM 6116
• Organización de la memoria: 2048 X 8
• Alta velocidad: tiempo de acceso 150 nseg.
• Baja potencia en estado inactivo: 10 uW
• Baja potencia en estado activo: 160 mW
• RAM completamente estática: No requiere reloj para su funcionamiento
• Temperatura de operación: 0.75 grados centígrados
• Temperatura de almacenamiento: De -55 a +125 grados centígrados.
• Potencia de disipación: 1 Watts
• Todas sus entradas y salidas son compatibles directamente con la tecnología TTL
DESCRIPCIÓN DE LAS TERMINALES

• E/S0-E/S7: Entrada y Salida de datos


• CS: Habilitador de la pastilla
• OE: Habilitador de salidas
• WE: Habilitador para la escritura
• Vcc: Voltaje de alimentación +5.0 Volts

• GND: Terminal de tierra 0.0 Volts


• A0-A10: Líneas de direcciones

Modo de funcionamiento:
 OPERACIÓN DE LECTURA
Un dato será leído del dispositivo de almacenamiento RAM 6116, mediante la
aplicación de un nivel alto en la terminal (WE)', un nivel bajo en (CS)', y estando en nivel bajo la
terminal (OE)', con estas conexiones se dispone que se pueda leer la memoria RAM 6116, si se
coloca un nivel alto en las terminales (OE)'. y/o (CS)' las líneas de E/S y/o la pastilla 6116
se ponen en estado de alta impedancia, respectivamente. (CS)' posee la función de
controlar la activación de la pastilla, la cual puede ser usada por un sistema con
microprocesadores para la selección del dispositivo.
La terminal (OE)' habilita las salidas, o las pone en estado de alta impedancia, la cual puede ser
habilitada cada vez que el microprocesador requiera leer la memoria.
 OPERACIÓN DE ESCRITURA
Un dato es escrito en el dispositivo RAM 6116 mediante la aplicación de un nivel bajo en la
terminal (WE)', un nivel bajo en (CS)', y un nivel alto o bajo en la terminal (OE)'. La terminal (WE)'
al ser activa provoca que las terminales E/S de la memoria RAM 6116 se habiliten para aceptar la
información, en estas condiciones la terminal (OE)' posee la opción de ser colocada en estado de
alto bajo, para realizar así la operación de escritura.
1. Verificar las operaciones de lectura y escritura a la RAM .
Escribir en la memoria los datos en por lo menos 5 direcciones
Descripción de los terminales :
➢ A0-A10: Lineas de direcciones
➢ I/O 0 – I/O 7: Entrada y Salida de datos
➢ (CE)' , Habilitador del CI
➢ (OE)' , Habilitador de salidas
➢ (WE)' , Habilitador para la escritura
➢ Vcc Voltaje de alimentación +5.0 Volts
➢ GND Terminal de tierra 0.0 Volts
I
9.b) Prueba de la RAM 74LS189 :

1. Verificar las operaciones de lectura y escritura a la RAM .


Escribir en la memoria los datos en por lo menos 5 direcciones. Leer data de la memoria y
visualizarla
en el display
Si CE y R/W estàn en HIGH, que ocurre con las salidas? .Suponga que la linea R/W del 74LS189 està
cortocircuitada a tierra. Què efecto tendrá en la salida de la memoria

EN ESCRITURA
IV. Conclusiones.

En resumen, las memorias RAM y ROM son dos tipos de memoria utilizadas en sistemas
electrónicos y computadoras. La memoria RAM (Random Access Memory) es una memoria de
acceso aleatorio que permite tanto la lectura como la escritura de datos. Es volátil, lo que significa
que los datos se pierden cuando se apaga la alimentación. Por otro lado, la memoria ROM (Read-
Only Memory) es una memoria de solo lectura que almacena datos de forma permanente. No se
puede escribir en ella después de su fabricación y retiene los datos incluso cuando se apaga la
alimentación.

La RAM es utilizada para el almacenamiento temporal de datos en ejecución y para el intercambio


de información con el procesador. Proporciona una rápida recuperación de datos, pero requiere
energía constante para mantener la información. Por otro lado, la ROM se utiliza para almacenar
datos permanentes y no volátiles, como instrucciones de arranque, firmware y otros datos
esenciales para el funcionamiento del sistema.

Ambos tipos de memoria desempeñan un papel fundamental en los sistemas electrónicos y


computadoras. La RAM permite un acceso rápido a los datos, mientras que la ROM proporciona
datos permanentes y estables. Combinadas, permiten el funcionamiento adecuado de los
sistemas, asegurando la capacidad de ejecutar programas y acceder a datos cruciales.

V. Bibliografía

1. Tanenbaum, A. S., & Bos, H. (2014). Structured Computer Organization (6th ed.). Pearson. (Capítulo 5:
"Memory Systems")

2. Hamacher, V. C., Vranesic, Z. G., & Zaky, S. H. (2011). Computer Organization and Embedded Systems
(6th ed.). McGraw-Hill. (Capítulo 5: "Computer Memory")

3. Patterson, D. A., & Hennessy, J. L. (2013). Computer Organization and Design: The Hardware/Software
Interface (5th ed.). Morgan Kaufmann. (Capítulo 5: "Large and Fast: Exploiting Memory Hierarchy")

4. Stallings, W. (2018). Computer Organization and Architecture: Designing for Performance (11th ed.).
Pearson. (Capítulo 6: "Memory System")

5. Hayes, J. P. (2008). Introduction to Digital Logic Design. CRC Press. (Capítulo 7: "Memory")

También podría gustarte