Está en la página 1de 14

UNIVERSIDAD INTERNACIONAL DE CIENCIA Y

TECNOLOGÍA (UNICYT)
MAESTRÍA EN CIENCIAS DE LA COMPUTACIÓN CON ÉNFASIS EN VIRTUALIZACIÓN

042022 - Introducción al Cómputo Reconfigurable

Profesor: Erick Diaz

Integrantes:
Ruth N. Ramírez S. de Phillips
Arnold A. Phillips D.

Proyecto Final
Autores: Ruth Ramirez y Arnold Phillips

2022
Proyecto Final - Arnold Phillips

Índice General
Introducción............................................................................................... 4
1. Los FPGA, características, funcionamiento y ejemplos de uso. ...................................................... 5
2. Alternativas o productos con funcionalidades similares a los FPGA. .............................................. 6
3. Describa la técnica que se utiliza para ampliar la funcionalidad de las máquinas de estado finito.
7
4. Investigar sobre la falta de chips en la industria electrónica. ......................................................... 8

Conclusiones ........................................................................................... 13
Bibliografía .............................................................................................. 14

2
Proyecto Final - Arnold Phillips

Índice de Ilustraciones
Ilustración 1 ................................................................................................................... 5
Ilustración 2 ................................................................................................................... 6
Ilustración 3 ................................................................................................................... 6
Ilustración 4 ................................................................................................................... 6
Ilustración 5 ................................................................................................................... 7

3
Proyecto Final - Arnold Phillips

Introducción
Los dispositivos Field Programmable Gate Arrays, en español Arreglos de Compuertas
Programable en el Campo, tal como su nombre lo indica son un arreglo (arrays) matricial
de bloques lógicos (gates) programables (programmable) en cualquier espacio físico
(field).

En 1985, Xilinx Incorporated introdujo una idea completamente nueva en el campo de


dispositivos programables: combinar el control del diseñador y el tiempo de desarrollo
(time to Market) de los PLDs con la densidad y bajo costo de arreglos de compuertas.
Rápidamente la idea tomó vuelo, los FPGAs se empezaron a fabricar, y hoy en día Xilinx
es el principal vendedor de FPGAs. Hasta ese año los dispositivos que se usaban (y
todavía se usan) cuando se necesitaba una gran cantidad de lógica combinacional y
secuencial eran los Application Specific Integrated Circuit (ASIC), cuyo tiempo de
desarrollo es muy largo, muchos meses llegando hasta años, y sumamente costosos.

Un FPGA es un dispositivo que un diseñador de sistemas digitales puede programar,


después que está soldado en el circuito impreso, para que funcione de un modo
determinado. Los FPGAs son fabricados con conexiones y lógica programables. El
diseñador desarrolla su sistema digital usando herramientas tipo EDA (Electronics
Design Automation), sean dibujos esquemáticos o lenguaje de descripción de hardware
(como VHDL), para poder plasmar el sistema en lógica digital. Luego de simular
satisfactoriamente el sistema digital comprobando su funcionalidad se usan
herramientas específicas del vendedor del FPGA para crear un archivo de configuración
del FPGA, el cual describe todas las conexiones, interconexiones y lógica que necesita
ser programada dentro del FPGA para poder implementar el sistema digital desarrollado.

Las máquinas de estado finito constituyen un modelo abstracto para explicar el


funcionamiento de una computadora o una maquina con una memoria simple o primitiva.
A diferencia de otras formas de representación teóricas, las máquinas de estado finito
incluyen el factor de la memoria como una condicionante para establecer las acciones
subsiguientes que efectuaría un ordenador.

Tomando en consideración todo esto. Deseamos compartir en este trabajo ya que son
temas de mucha importancia en esta maestría. La Introducción al Cómputo
Reconfigurable. Esta materia es primordial y necesario en este ámbito.

4
Proyecto Final - Arnold Phillips

1. Los FPGA, características, funcionamiento y ejemplos de uso.

a. Los FPGA

Ilustración 1
Son circuitos integrados reconfigurables compuestos de interconexiones
programables que combinan bloques lógicos programables, de memoria
embebida y de procesamiento de señales digitales, entre otros. Dicho en palabras
más simples, una FPGA es “hardware programable”.

b. Características
o De Gran cantidad de terminales de E/S. Desde 100 hasta unos 1400
terminales de E/S
o Buffers de E/S programables: control de sesgo, control de corriente,
configuración del estándar de E/S, pull-up y pull-down configurables
o Gran cantidad de Flips-Flops, los dispositivos más grandes tienen unos
40.000 FFs
o Gran cantidad de Tablas de Búsqueda (Look-Up Tables).
o Bloques de Memoria (BRAM) de doble puerto, puerto simple, de hasta
18Mbits, configurables como RAM, ROM, FIFO y otras configuraciones
o Bloques dedicados de Multiplicación
o Transceptores para transmisión serie de muy alta velocidad, entre 1.5 a-
10.0Gb/s
o Control de impedancia programable por cada terminal de E/S
o Interfaz DDR/DDR2 SDRAM soportando interfaces de hasta 800 Mb/s
o Interfaz con estándares de E/S tipo diferencial tales como LVDS, SSTL
diferencial.

c. Funcionamiento
Los FPGA se utilizan para probar nuevas funcionalidades a nivel de hardware
antes de su implementación en un procesador. Esto significa que la funcionalidad
es escrita en un lenguaje de descripción del hardware como puede ser Verilog o
VHDL y el FPGA se configura para trabajar como ese hardware en concreto.

d. Ejemplos de uso
▪ Audio
▪ Automotriz
▪ Broadcast
▪ Electrónica
▪ Centros de datos

5
Proyecto Final - Arnold Phillips

▪ Computación de alto rendimiento


▪ Industrial
▪ Radio definido por software
▪ Sistemas aeroespaciales y de defensa
▪ Prototipos de ASIC
▪ Sistemas de imágenes para medicina
▪ Sistemas de visión para computadoras
▪ Reconocimiento de voz
▪ Bioinformática

2. Alternativas o productos con funcionalidades similares a los FPGA.

a. SPLD

Ilustración 2
Un dispositivo lógico programable simple (SPLD) es un IC que
puede configurarse para realizar funciones lógicas arbitrarias.

b. CPLD
Cuyas siglas significan dispositivo lógico programable
Ilustración 3

complejo, al igual que un FPGA es un tipo de lógica


programable, pero cuyo funcionamiento deriva de las ROMS,
los PLA y los PAL. Por lo que su arquitectura y funcionamiento
es totalmente distinto al de los FPGA.

c. ASIC basados en celdas


A medida que evolucionan las nuevas
características, consolidar los diseños de los
circuitos integrados puede ser más
económico y ofrecer más eficiencia
energética. Los circuitos integrados
específicos de la aplicación (ASIC, por sus
siglas en inglés) se crean específicamente
con un propósito y se producen en masa para
una función concreta. A diferencia de las
FPGA, no pueden reprogramarse y requieren
una inversión importante de NRE. Ilustración 4

Con un ASIC estándar basado en celdas, cada capa del circuito integrado se
debe personalizar. Esto requiere equipos de diseño especializado y herramientas
de software para diseñar de acuerdo con la función deseada, así como
inversiones importantes en el desarrollo de la arquitectura de diseño para prueba
(DFT) para asegurarse de que el diseño se pueda fabricar y tenga una buena
calidad.

6
Proyecto Final - Arnold Phillips

d. ASIC estructurados
Un ASIC estructurado es un paso hacia adelante entre una FPGA y un ASIC
basado en celdas. Los ASIC estructurados comienzan con una matriz base común
con lógica, memoria, E/S, transceptores y un sistema de procesador físico. Los
diseñadores solo necesitan personalizar la interconexión, por lo que pueden omitir
muchos de los pasos que tienen que ver con el flujo de diseño de ASIC basados
en celdas, y en su lugar, centrarse en la implementación de la funcionalidad
personalizada que se desea.

En esencia, un ASIC estructurado ofrece un consumo de energía más bajo con


un coste de unidad menor en comparación con las FPGA, y un tiempo de llegada
al mercado más rápido con NRE inferior en comparación con los ASIC basados
en celdas.

3. Describa la técnica que se utiliza para ampliar la funcionalidad de las


máquinas de estado finito.

Ilustración 5

Mediante el modelo de máquina de estado finito se han obtenido máquinas que


intercambian objetos abstractos utilizando el acceso a colas como único
mecanismo de sincronismo.

Las máquinas de estado finito extendidas introducen 3 modificaciones: Uso de


variables, colas de enteros y operadores aritmético-lógicos sobre variables. Una
variable puede definirse de manera parecida a una cola, con la diferencia que una
variable tiene un único valor, dentro de un rango finito, en un instante dado. El
valor de una variable será el último valor introducido. Las variables disponen de
nombres simbólicos y contienen, en este caso, enteros (en lugar de objetos
abstractos).

La segunda modificación es el uso de colas para transferir valores enteros (en


lugar de objetos abstractos).

7
Proyecto Final - Arnold Phillips

La tercera modificación es la introducción de operadores aritméticos y lógicos


para manipular el contenido de las variables. Una variable puede simularse con
una máquina de estado finito, siempre que su rango de valores sea finito.

4. Investigar sobre la falta de chips en la industria electrónica.

a. Causas
El impacto de la pandemia por COVID-19, ha sido una de las principales causas
detrás del desbalance entre oferta y demanda de los microchips.

El encierro prolongado por cuarentena ha incrementado una necesidad por


equipos de cómputo de alto rendimiento para llevar a cabo las actividades diarias
a distancia.

Tanto el trabajo, como el estudio y el entretenimiento, requieren de equipos de


cómputo buenos, por lo que han tenido un aumento considerable en la demanda
durante el último año.

A diferencia de otras manufacturas, la industria de los semiconductores


imposibilita una adaptación apresurada para incrementar su producción y por
ende, la accesibilidad del producto:

En la industria de los semiconductores no es posible, ya que requiere una


inversión y construcción de la infraestructura necesaria, la cual puede tomar de 3
a 5 años.

Además de los problemas derivados de la crisis sanitaria actual, existen una


serie de eventos extraordinarios que han repercutido en las operaciones de las
plantas de semiconductores de mayor trascendencia.

8
Proyecto Final - Arnold Phillips

Algunos de los eventos que han marcado la escasez han sido:

▪ Las heladas en Texas

La planta de microchips de Samsung, una de las más grandes del mundo y


ubicada en Austin, Texas, se quedó sin energía debido a las heladas. Su
producción se frenó por completo y tardó aproximadamente un mes en reanudar
operaciones.

▪ Incendios colaterales

En Japón, la planta Renesas, uno de los fabricantes más importantes de


microcontroladores en el mundo, sufrió un incendio que ocasionó el freno total de
sus operaciones durante un mes. Se espera que regresen al 100% de su
producción hasta dentro de cuatro meses.

▪ Sequía en Taiwán

El 85% de los chips se fabrican en Taiwán y Corea del Sur. Para su manufactura,
se requiere una cantidad abundante de agua. En Taiwán ha habido una gran
sequía en los últimos meses, lo que ha ocasionado que no se pueda producir lo
suficiente para satisfacer la demanda.

b. Consecuencias
Estas pequeñas piezas están elaboradas con semiconductores, generalmente el
silicio. Su demanda se ha disparado en los últimos meses como consecuencia de
la pandemia, que ha impulsado el teletrabajo y la demanda de ordenadores o
teléfonos móviles. Sin embargo, los fabricantes no dan abasto. La demanda de
chips es ahora mucho mayor que la oferta.
Foxcoon, el principal proveedor de Apple, reducirá sus entregas y Samsung ha
reconocido que la falta de chips podría retrasar el lanzamiento de nuevos modelos
de teléfonos móviles. Conseguir una videoconsola como la PlayStation 5 o
determinadas tarjetas gráficos puede llevar meses.

También lo han notado algunas empresas españolas, como Premium, empresa


especializada en fuentes de alimentación. Su CEO, la compañía puede atender a
sus clientes, pero sufre "un aumento de los plazos de suministro. Componentes,
como microcontroladores, que llegaban en 8 semanas ahora tardan más 20 y no
los encuentras en los canales habituales". Esto le ha obligado a contar con un
plan B (y un plan C) que encarece sus costes.

9
Proyecto Final - Arnold Phillips

La situación se agrava si tal y como parece que está sucediendo, la cadena de


suministro de materias primas está comenzando a flojear y los fabricantes no
obtienen material suficiente para poder copar su capacidad de producción.

Dicho de otra manera, la situación actual sería a grandes rasgos la siguiente:


• El mercado está generando una demanda de 150
• Las fábricas solo pueden fabricar 100 a máxima capacidad. No llegan a
suplir la demanda
• La cadena de suministro solo proporciona materias primas para fabricar 80

Así pues, la situación ha llevado ya no solo a que la demanda sea muy superior
a la oferta en cuanto a producción de chips, es que las fábricas ni siquiera pueden
estar fabricando a plena capacidad porque no les llegan materias primas
suficientes.

c. Industrias más afectadas

• Automotriz
• Manufacturera
• Tecnológica (teléfonos inteligentes, PC y consolas de videojuegos etc.)
• Electrónica de consumo
• La industria de la aviación

d. Posibles soluciones
Como posible solución, el 64% de las empresas diseñan ahora en función de la
disponibilidad de los componentes más que de las preferencias, según la
encuesta de Avnet. En el pasado, los ingenieros habrían buscado componentes
que optimizaran la facilidad de fabricación, el rendimiento, el coste u otras
métricas empresariales clave.

Otra opción de ingeniería es diseñar para la flexibilidad o tener preparados


diseños de reserva si los problemas de la cadena de suministro desbaratan los
diseños preferidos.

10
Proyecto Final - Arnold Phillips

Reutilizar componentes antiguos

CDS es una empresa que se asocia con fabricantes de equipos originales, como
Dell, IBM, HPE y Cisco, para ofrecer servicios de mantenimiento y asistencia para
sus productos. Esto ayuda a las empresas a obtener más vida de su tecnología,
dice el director general de la empresa, Dan Newton.

Para mantener todos estos equipos, CDS cuenta con más de 95 almacenes en
todo el mundo con piezas de repuesto para centros de datos. Puede que el
almacenamiento no sea una solución práctica a la crisis de los chips para las
empresas: es difícil acumular reservas en medio de una escasez de suministros.
Pero hay algo más que hace CDS que podría ser relevante.

Sacar más provecho de lo que se tiene

También hay medidas que las empresas pueden tomar para que sus equipos
actuales duren más, dice Newton. "No lo hagas funcionar con demasiado frío, no
lo hagas funcionar con demasiado calor", dice. "Mantenga la infraestructura de
forma adecuada".

Y las carencias más significativas se dan en los equipos nuevos. "La mayoría de
nuestros socios OEM están viendo retrasos en las entregas de tres a seis meses",
dice Newton. Los equipos más antiguos pueden ser más fáciles y rápidos de
conseguir.

Aumentar la visibilidad de la cadena de suministro

Las empresas están actualizando sus sistemas informáticos y extrayendo datos


de los proveedores para obtener información actualizada sobre la disponibilidad y
la entrega de componentes críticos.

Planificar con antelación

Las empresas deben reforzar y alargar sus hojas de ruta tecnológicas y cuanto
más completa sea la hoja de ruta, más fácil será planificar con antelación. Esto
evita la planificación apresurada o de última hora y permite adaptarse de forma
proactiva en función de las condiciones cambiantes del mercado.

11
Proyecto Final - Arnold Phillips

Utilizar la nube de forma más agresiva

Según una investigación reciente de Insight e IDG, el 91% de los responsables


de la toma de decisiones de TI dicen que les está afectando la interrupción de la
cadena de suministro de TI.

Como respuesta, el 43% afirma que está evitando las compras ad hoc de última
hora, el 42% está mejorando sus capacidades de previsión para obtener una
mayor visibilidad a largo plazo de sus necesidades de equipos, y el 44% afirma
que tiene previsto trasladar el procesamiento a la nube.

Utilizar la IA y el ML para añadir inteligencia a la gestión de la cadena de


suministro

Los sistemas heredados, los procesos manuales y los datos dispersos dificultan
la reacción de las empresas ante las emergencias de la cadena de suministro,
afirma Prakash Hariharan, vicepresidente senior de consultoría y asesoramiento
para directores financieros de Genpact, una consultora tecnológica con sede en
Nueva York.

Pero una vez que las empresas hayan digitalizado sus cadenas de suministro e
integrado las actualizaciones en tiempo real de socios y proveedores, obtendrán
datos que podrán utilizar para mejorar aún más esas cadenas de suministro.

12
Proyecto Final - Arnold Phillips

Conclusiones
Las medidas de proyecto óptimas a menudo son correspondientes por FPGA, mezclas
de procesadores y FPGA, o por FPGA que tienen núcleos rígidos de procesadores como
segmento de su disposición.

Los FPGA han avanzado apresuradamente a lo largo de los años y pueden emprender
muchas exigencias de diseño en técnicas de resistencia, velocidad de procesamiento y
fortaleza, lo que los hace ventajosos para una extensa escala de aplicaciones.

A la altura como estudiante es complejo y quizá poco rentable enseñar FPGA ya que
las aplicaciones que se le pueden dar en un ambiente donde se pueda marcar
claramente sus ventajas es a nivel desarrollado y aún la velocidad de aprendizaje es
más acentuada que otras tecnologías por lo que requiere prácticamente una asignatura
dedicada a estos chips y sus prácticas en laboratorio físico.

Los estados de la máquina le dan unas capacidades de memoria definidas. Algunas


máquinas de estado finito provocan un símbolo como dato de salida para cada
transformación y pueden manejarse para formar gran diversidad de máquinas, entre las
que se envuelven las máquinas expendedoras, los semáforos, los sumadores binarios
y los reconocedores de lenguajes.

Pude comprender en esta materia, que los chips son un elemento esencial en las
industrias, ya que con los acontecimientos actuales se ha observado como este recurso
a cambiado el modo de trabajo para solventar y buscar soluciones que duren a largo
plazo.

13
Proyecto Final - Arnold Phillips

Bibliografía

❖ C, Sisterna (02/05/2012). FPGAS. Obtenido de Departamento de Electrónica y


Automática:
http://dea.unsj.edu.ar/sisdig2/Field%20Programmable%20Gate%20Arrays_A,
Fecha de consulta: 11/08/2022

❖ V. Quesada (05/08/2021). Máquinas de estado finito y autómatas. Obtenido de


escinf:
https://www.escinf.una.ac.cr/discretas/Archivos/Presentaciones/Capitulo_7,
Fecha de consulta: 11/08/2022

❖ (18/12/2017). FPGAs: ¿los procesadores del futuro? Obtenido de SEDICI:


http://sedici.unlp.edu.ar/handle/10915/64231, Fecha de consulta: 11/08/2022

❖ (06/08/2021). Qué es un FPGA: características y utilidad de este tipo de


componente. Obtenido de hardzone: https://hardzone.es/reportajes/que-es/fpga-
caracteristicas-utilidad/, Fecha de consulta: 11/08/2022

❖ (26/07/2022). FPGA: Todo lo que necesitas saber. Obtenido de Profesional


Review: https://www.profesionalreview.com/2022/07/26/fpga/, Fecha de consulta:
12/08/2022

❖ C. Mercader Morell, (2008). Máquinas de Estado Finito. Obtenido de repositorio-


upct:
https://repositorio.upct.es/bitstream/handle/10317/124/Descarga.pdf;jsessionid=
2C929318FCC45FFE74A0FD8BA5834907?sequence=1, Fecha de consulta:
12/08/2022

❖ J. McGill, (24/03/2022). Estrategias para sortear la escasez de chips. Obtenido


de ComputerWorld: https://www.computerworld.es/tendencias/estrategias-para-
sortear-la-escasez-de-chips, Fecha de consulta: 13/08/2022

14

También podría gustarte