Está en la página 1de 16

UNIVERSIDAD CATÓLICA DE SANTA

MARÍA

FACULTAD DE CIENCIAS E INGENIERÍAS


FÍSICAS Y FORMALES

E. P. DE INGENIERÍA MECÁNICA, MECÁNICA –


ELÉCTRICA Y MECATRÓNICA

CIRCUITOS DIGITALES
GRUPO: 03

DOCENTE: ING. SERGIO MESTAS RAMOS

ALUMNO: RENATO EDUARDO BELTRÁN CÁCERES

2021
I. OBJETIVO:
 Analizar circuitos secuenciales síncronos y asíncronos.
 Diseñar circuitos combinacionales síncronos y asíncronos.
 Comprobar el funcionamiento de los circuitos secuenciales.

II. INFORME PREVIO:


1. Defina los siguientes términos relacionados a máquinas de estado: estado,
transición, entrada y salida.
 Estado: Se puede definir como el conjunto de valores almacenado en los
biestables durante un determinado ciclo de reloj.
 Transición: es el cambio del estado origen al estado destino.
 Entrada: datos que generan una salida.
 Salida: datos que son generados gracias a un dato de entrada.
2. Cuáles son las semejanzas y diferencias entre un autómata tipo Mealy y Moore.
Las máquinas tienen casi las mismas cualidades, ambas tienen salidas, entradas
y estados semejantes, solo que la principal diferencia entre éstas dos es la
dependencia de las salidas.
 Máquina de Moore:
 Las salidas dependen sólo del estado presente.
 Las entradas intervienen en la decisión del próximo estado
Z=f ( y )
 Máquina de Mealy:
 Las salidas dependen del estado presente y del valor de las entradas
Z=f ( y , X )

3. Cuáles son los pasos para analizar un circuito secuencial.


a. Obtener la expresión para la función/es de salida
b. Obtener las expresiones para las funciones de transición (entradas a
biestables)
c. Rellenar la tabla de verdad (ecuaciones de excitación)
d. Dibujar el diagrama

4. Cuáles son los pasos para diseñar un circuito secuencial.


a. Obtener Diagrama de Transición de Estados
b. Codificar los estados
c. Obtener Tablas de salidas y transición de biestables
d. Tabla de Excitación (tabla inversa de biestables)
e. Obtener funciones de salida
f. Obtener funciones de estado
g. Implementar

III. MATERIALES Y EQUIPOS


 Fuentes de Alimentación  2 CI 7402 NOR
 Multímetro  2 CI 7473 Flip Flop JK
 Protoboard  2 CI 7474 Flip Flop D

 Circuitos integrados
 Puertas lógicas varias.
 2 CI 7400 NAND
 Cables de conexión
 Módulo de entradas y salidas digitales

IV. PROCEDIMIENTO

ANALISIS DE CIRCUITOS SECUENCIALES


1. Dado el siguiente circuito.
2. Analizar teóricamente el circuito mostrado. (Indique a que tipo de circuito
secuencial corresponde y obtenga el DTE.
Se puede decir que este circuito trabaja como una máquina de Moore, ya que
depende totalmente del estado actual que se selecciona, ya que al poner un 1
de entrada, las salidas salen 0 y si colocamos un 0 de entrada, resultarían 1
las salidas, pero esto depende más que todo del estado presente y la entrada
X, solo se haría presente a la hora de definir el próximo estado.

Estados próximos
ESTADOS
X=0 X=1
E1 E1 E2
E2 E1 E2

0 0 (E1) 1 (E2) 1

3. Aplique como señal de reloj la salida del circuito antirebote.


4. Verifique la tabla de transición de estados encontrada.
La tabla previamente hallada, coincide en el sentido de que al cambiar de flanco
dependiendo de si es 0 o es 1, las salidas también van a cambiar.

Estados próximos
ESTADOS
X=0 X=1
E1 E1 E2
E2 E1 E2

Solo que, en este caso, al utilizar un circuito antirebote, la señal de entrada es


más frecuente que en el caso anterior, eso se soluciona disminuyendo la
frecuencia del antirebote.
5. Complete el siguiente oscilograma.
En el caso de la entrada inicial tenemos:

Y en el caso de la entrada con el antirebote, tenemos:

6. Dado el siguiente circuito.


7. Analizar teóricamente el circuito mostrado. (Indique a qué tipo de circuito
secuencial corresponde y obtenga el DTE.

En este caso nos encontraríamos frente a una máquina de Mealy, ya que la


compuerta de salida AND, no cambia a 1, hasta que las salidas Q’ de los Flip-
Flops 1 y 2 se vuelvan 1 y además tenemos que seleccionar una entra 1, para que
la respuesta se vuelva 1, de igual manera pasa con la salida 0. Es decir, que la
salida depende de los estados actuales de los Flip-Flops y también del valor de
entrada principal.

Ecuaciones de excitación:
Salida: F=Q 2'∗Q 1'∗Q 0
D 0= X
D 1=Q 1
D 2=Q 2

Tabla de estados:
Q0 Q1 Q2 Q0* Q1* Q2*
0 0 0 0 1 0
0 1 0 0 1 1
0 1 1 1 1 1
1 1 1 1 0 1
1 0 1 1 0 0
1 0 0 0 0 0

Diagrama de Estados:
8. Aplique como señal de reloj la salida del circuito antirebote.

9. Verifique la tabla de transición de estados encontrada.

Q0 Q1’ Q2’ Q0* Q1’* Q2’*


0 0 0 0 1 0
0 1 0 0 1 1
0 1 1 1 1 1
1 1 1 1 0 1
1 0 1 1 0 0
1 0 0 0 0 0
Según la tabla y el gráfico del oscilograma, los estados coinciden, estos van de
acuerdo a los impulsos de reloj que se dan, las salidas de los Flip-Flops 1 y 2 dan
las respuestas secuenciales primordiales para que luego nosotros pulsemos la
señal de entrada cambiando de 0 a 1 para generar su respectiva señal de salida
gracias a la compuerta AND.

10. Complete el siguiente oscilograma.

DISEÑO DE CIRCUITOS SECUENCIALES


11. Dada la siguiente tabla.

12. Dibuje el DTE empleando flip flops tipo JK


13. Imple
mentar el circuito secuencial que verifique la tabla dada. (utilice como
señal de reloj el circuito antirebote.

H Q1 Q0 J1 K1 J0 K0 Q1* Q0*
0 0 0 0 X 0 X 0 0
0 0 1 1 X X 1 1 0
0 1 0 X 0 0 X 1 0
0 1 1 X 1 X 1 0 0
1 0 0 0 X 1 X 0 1
1 0 1 0 X X 0 0 1
1 1 0 X 0 1 X 1 1
1 1 1 X 0 X 0 1 1
Tabla de excitación (tabla inversa)

Resolvemos por mapas de Karnaugh:


J1:

Q0 Q1
H
0 00 01
1 11
X 10
X
1 X X
'
J 1=H Q 1
K1:
Q0 Q1
H
0 00
X 01
X 11
1 10
1 X X
'
K 1=H Q 1

J0:

Q0 Q1
H
0 00 01
X 11
X 10
1 1 X X 1
J 0=H

K0:

Q0 Q1
H
0 00
X 01
1 11
1 10
X
1 X X
K 0=H '
V. CUESTIONARIO FINAL:

1. Cuando emplear un circuito secuencial síncrono.

Los circuitos secuenciales síncronos, sólo permiten un cambio de estado en los


instantes marcados por una señal de sincronismo de tipo oscilatorio denominada
reloj. Es decir, que estos circuitos tienen que coincidir con la señal de reloj para
poder actuar. Con esto se pueden evitar los problemas que tienen los circuitos
asíncronos originados por cambios de estado no uniformes en todo el circuito.

2. Cuando emplear un circuito secuencial asíncrono.


En un circuito secuencial asíncrono, los cambios de estado ocurren al ritmo
natural marcado por los retardos asociados a las compuertas lógicas utilizadas en
su implementación, es decir, estos circuitos no usan elementos especiales de
memoria, pues se sirven de los retardos propios (tiempos de propagación) de las
compuertas lógicas usados en ellos. Esta manera de operar puede ocasionar
algunos problemas de funcionamiento, ya que estos retardos naturales no están
bajo el control del diseñador y además no son idénticos en cada compuerta
lógica.

3. Un semáforo vehicular a qué tipo de autómata corresponde, fundamente su


respuesta.
El semáforo es tanto una de Moore, como de Mealy, esto porque es una máquina
secuencial que depende absolutamente del tiempo y de la señal de reloj, pero
también depende de la entrada, ya que hay semáforos que puedes presionar para
dar una orden diferente, dependiendo de las normas de tráfico.
4. Plantea y resuelva un problema de análisis de circuitos secuenciales.
Analizar el comportamiento del siguiente circuito:

Paso 1: Ecuaciones de excitación/salida:


B1 :

B 2:

Salida:

Paso 2: Tabla de excitación/salida:

X
0 1
q1q0 
00 00,00;0 10,01;0
01 01,00;0 00,01;0
10 01,10;0 00,00;0
11 00;10,0 10,00;1

R1 S 1 , R0 S 0 ; z

Paso 3: Tabla de transición/salida:

RS
00 01 11 10

0 0 1 - 0
1 1 1 - 0

Q
X
0 1
q1q0 
00 00;0 01;0
01 11;0 01;0
10 10;0 11;0
11 10;0 00;1

Q1Q0, z

Paso 4: Tabla de estados/salida:

q1q0   X
0 1
00 a S
01 b a a;0 b;0
10 d b c;0 b;0
11 C d d;0 c;0
c d;0 a;1
Asignación de
estados NS, z

Paso 5: Diagrama de estados/salida:


1/0 1/0
0/0

A B

0/0

1/1
C D

1/0 0/0
0/0

5. Plantea y resuelva un problema de diseño de circuitos secuenciales.


Se plantea un semáforo que funcione secuencialmente como uno común, se
prende la luz roja, cambia a ámbar y de ahí a verde y viceversa, la entrada es con
un pulsador de 0 o 1 y se añadió un circuito antirebote como impulso de entrada
de reloj.

Así, tenemos el circuito de semáforo:


VI. CONCLUSIONES Y OBSERVACIONES
Formule por lo menos cinco conclusiones referidas al desarrollo de la
práctica.
 Los circuitos secuenciales que utilizan Flip-Flops, basan su funcionamiento
en estados y periodos en los que se les impulsa una señal de reloj para poder
realizar su determinado trabajo.
 Tanto las máquinas de Mealy como las de Moore, son utilizadas en la vida
cotidiana para todo tipo de máquinas y tecnología, tenemos varios ejemplos
como juegos de luces que parpadean, pero las puedes cambiar con una señal
de reloj, los botones del control remoto que tienen memoria de canales e
historial y muchos ejemplos más existen en nuestra vida normal.
 El circuito del punto 6, es un ejemplo de 3 Flip-Flops que tienen que coincidir
para poder cambiar de señal de salida, este circuito secuencial, se puede usar
mayormente en tecnología que necesiten varias entradas que sean iguales para
poder generar determinadas salidas y que sean continuas.
 El circuito antirebote nos sirve para dar una frecuencia más exacta a distintos
circuitos, dependiendo mayormente de que uso le vamos a dar, por ejemplo,
si son circuitos que tienen que trabajar a frecuencias altísimas para mover
determinadas máquinas, entonces tenemos que reducir las resistencias, para
que el nivel de frecuencia aumente considerablemente.
 Hablando del circuito de control de semáforo, estos dependen de que tipos
sean, ya que los peatonales funcionan de diferente manera y tienen una
configuración que debe coincidir con los vehiculares, tenemos variantes como
los semáforos que se apreta un botón y el peatón tiene pase, esto da una señal
al vehicular que cambia la señal de reloj, esta tiene que reiniciarse y volver a
calibrar las luces y sus sensores.

VII. BIBLIOGRAFIA:
Indique la bibliografía consultada en la elaboración de su informe.

- EcuRed. (s. f.). Diagrama de estado - EcuRed. Recuperado 8 de julio de 2021,


de https://www.ecured.cu/Diagrama_de_estado#Definici.C3.B3n_de_Estado
- Tema 2: Análisis y diseño de circuitos digitales - PDF Descargar libre. (s. f.).
DOCPLAYER. Recuperado 8 de julio de 2021, de
https://docplayer.es/95308485-Tema-2-analisis-y-diseno-de-circuitos-
digitales.html
- 4.1 Modelos de Circuitos Secuenciales. (s. f.). UNIVERSIDAD
AUTÓNOMA DEL ESTADO DE HIDALGO. Recuperado 8 de julio de
2021, de
http://cidecame.uaeh.edu.mx/lcc/mapa/PROYECTO/libro16/41_modelos_de_
circuitos_secuenciales.html

También podría gustarte