Documentos de Académico
Documentos de Profesional
Documentos de Cultura
* Indispensables.
Entidad
Declaración de las entradas y salidas de un modulo.
ENTITY Nombre_entidad IS
PORT ( Nombre de señal: modo tipo de señal; . . .
Nombre de señal: modo tipo de señal ) ;
END nombre_entidad ;
entity ejem_1 is
port ( A, B, C, D: in STD_LOGIC;
F : out STD_LOGIC );
end ejem_1 ;
Entidad: puertos de I/O
Puerto (port): señal de entrada y/o salida.
Todos los puertos deben tener: nombre, modo y tipo de
dato.
Entidad: modos
Modo Descripción
IN En este modo las señales solo entran en la entidad
OUT Las señales salen de la entidad
BUFFER Este modo se utiliza para las señales que además de salir de la
entidad pueden usarse como entradas realimentadas
ENTITY dff IS
PORT(d,clk,rst: IN std_logic;
q: OUT std_logic);
END dff;
Entidad: identificadores
Los identificadores son los nombre válidos para referir
variables, constantes, señales, procesos, etc.
No tienen longitud máxima.
Puede contener caracteres del a ‘A’ a la ‘Z’, de la ‘a’ a la ‘z’,
caracteres numéricos de ‘0’ al ‘9’ y el carácter subrayado ’_’.
No se diferencia entre mayúsculas y minúsculas
(CONTADOR, contador y ConTadoR son el mismo
identificador).
Debe empezar por un carácter alfabético, no puede terminar
con un subrayado, ni puede tener dos subrayados seguidos.
No puede usarse como identificador una palabra reservada.
Diseño de entidades mediante
vectores
Conjuntos de palabras de varios bits.
A = [A3,A2,A1,A0];
A=[0101];
Definidos con la sentencia std_logic_vector.
Port (
a, b: in std_logic_vector(7 downto 0);
sel: in std_logic_vector (1 downto 0);
c: out std_logic_vector (7 downto 0)
);
Declaración de entidades mediante
librerías y paquetes
Librerías IEEE y WORK.
IEEE: paquete
std_logic_1164
WORK: numeric_std,
std_arith. Lugar donde se
almacenan los programas que
el usuario va generando.
Paquete: contiene
algoritmos preestablecidos.
Declaración de librerías
Al declarar una librería, son necesarias dos líneas
de código: una que contenga el nombre de la
librería y otra con la sentencia USE, como se
muestra:
LIBRARY nombre_libreria;
USE nombre_libreria.paquete;
Paquete std_logic_1164
Está dentro de la librería IEEE.
Contiene todos los tipos de datos que se emplean en VHDL.
Use std_logic_1164.all
Paquete Descripción