Documentos de Académico
Documentos de Profesional
Documentos de Cultura
DIGITALES
Lógica Programable
Un dispositivo lógico programable (PLD, Programmable Logic Device)
es un dispositivo que inicialmente no tiene una función lógica fija,
pero que puede programarse para implementar casi cualquier diseño
lógico.
MODELO: EPM240T100C5
FAMILIA: MAX II
MARCA: ALTERA
MODELO: EP4CE6E22C8
FAMILIA: CICLONE IV E
MARCA: ALTERA
SOFTWARE:
QUARTUS PRIME LITE 18.1
Introducción del Diseño
El primer paso para la programación, es
introducir el diseño del circuito o sistema
a través de un medio de entrada que
puede ser texto, gráficos o descripción de
diagramas de estado.
Solución:
Modo in: clk, Reset
Modo out: Q3,Q2,Q1 y Q0
Entidad: Contador
VHDL:
Ejemplo
Declarar la entidad del circuito lógico mostrado:
Solución:
Modo in: clk, Reset
Modo out: Q3,Q2,Q1 y Q0
Entidad: Contador
Solución:
Modo in: a,b,c,d,e,f,g,h
Modo out: F1
Entidad: Circuito
VHDL:
Ejemplo
Declarar la entidad del circuito lógico mostrado:
Solución:
Modo in: a,b,c,d,e,f,g,h
Modo out: F1
Entidad: Circuito
1 -- Declaración de la entidad
2 entity Circuito is
3 port( a,b,c,d,f,g,h,: in bit;
4 F1: out bit);
5 end Circuito;
VHDL:
Declarando entidades con librerías y paquetes
Un aspecto importante en la programación con VHDL radica en el uso
de librerías y paquetes que permiten declarar y almacenar
estructuras lógicas que facilitan el diseño.
1 library ieee;
2 use ieee.std_logic_1164.all;
3 entity Bloque is
4 port (X : in std_logic_vector(1 downto 0);
5 A,B : in std_logic);
8 Z : out std_logic_vector(0 to 3));
7 end Bloque;
Declarando vectores:
Números de varios bits
VHDL: Ejemplo
Declarando entidades con librerías y paquetes
Para la entidad Sumador, realizar su declaración utilizando
librerías y paquetes:
SUMA = A+B
1 library ieee;
2 use ieee.std_logic_1164.all;
Paquete std_arith, requerido para
3 use work.std_arith.all; la operación SUMA = A+B
4 entity Sumador is
5 port (A, B : in std_logic_vector(1 downto 0);
6 SUMA : out std_logic_vector(1 downto 0));
7 end Sumador;