Está en la página 1de 15

Circuitos digitales 1

Tecnología en telemática

Taller de circuitos combinacionales

Tutor:

Ing. Gineth Magaly Ceron Rios

Presentado por:

David Alejandro Rios Castañeda

Andres Felipe Perez Campo

Facultad de ingeniería electrónica en telecomunicaciones


Universidad del Cauca

1.CODIFICADORES
● Definir que es un decodificador, sus aplicaciones y su integrado comercial.
El decodificador es un dispositivo que acepta una entrada digital codificada en binario y activa
una salida. Este dispositivo tiene varias salidas, y se activará aquella que establezca el código
aplicado a la entrada.Con un código de n bits se pueden encontrar 2n posibles combinaciones.
Si se tienen 3 bits (3 entradas) serán posibles 23 = 8 combinaciones. Una combinación en
particular activará solo una salida.Por ejemplo:
activar la salida Q2 hay que poner en la entrada el
equivalente al número 2 en binario (102).
En un decodificador de 2 a 4 (se tienen 2 pines o patitas de
entrada y 4 pines o patitas de salida). En la entrada se
pone el código en binario (00, 01, 10, 11), que hará que se
active sólo una salida de las cuatro posibles.
A continuación se muestra una imagen de lo que sería un
decodificador BCD de 7 segmentos, 7447. Podemos
observar que tiene 16 "patillas", de los cuales 4 son
entradas, 7 son salidas, 2 son de alimentación y 3 son de
funcionamiento del propio decodificador.

Aplicaciones
Los decodificadores se emplean fundamentalmente para seleccionar los diferentes puertos de
E/S ( entrada/salida) y así la computadora pueda comunicarse con los diferentes dispositivos
externos ( periféricos). Estos decodificadores son conocidos como decodificador de direcciones
de puertos.
Su función principal es la de direccionar espacios de memoria. Un decodificador de N entradas
es capaz de direccionar 2N espacios de memoria.
Para poder direccionar 1kb de memoria se necesitarían 10 bits, ya que la cantidad de salidas
seria 210, igual a 1024.
De esta manera:
Con 20 bits se tienen 220 lo que equivale a 1Mb.
.Con 30 bits se tienen 230 lo que equivale a 1Gb
● Realizar el esquemático y tabla de verdad de un decodificador de 2a 4 y
de 3 a 8
De 2 a 4

(esquemático/gráfico 1)

A0 A1 O0 O1 O2 O3

0 0 1 0 0 0

0 1 0 1 0 0

1 0 0 0 1 0

1 1 0 0 0 1
(tabla de verdad/tabla 1)
De 3 a 8

(esquemático/ grafico 2)

IN IN IN IN IN IN OUT OUT OUT OUT OUT OUT OUT OUT

E0 E1 E2 A0 A1 A2 O0 O1 O2 O3 O4 O5 O6 O7

1 X X X X X 1 1 1 1 1 1 1 1

X 1 X X X X 1 1 1 1 1 1 1 1

X X 0 X X X 1 1 1 1 1 1 1 1

0 0 1 0 0 0 0 1 1 1 1 1 1 1

0 0 1 1 0 0 1 0 1 1 1 1 1 1

0 0 1 0 1 0 1 1 0 1 1 1 1 1

0 0 1 1 1 0 1 1 1 0 1 1 1 1

0 0 1 0 0 1 1 1 1 1 0 1 1 1

0 0 1 1 0 1 1 1 1 1 1 0 1 1

0 0 1 0 1 1 1 1 1 1 1 1 0 1
0 0 1 1 1 1 1 1 1 1 1 1 1 0
● Implementar en Quartus un decodificador de BCD a Display 7 Segmentos.
Para el decodificador BCD a display 7 segmentos vamos a usar VHDL como programador en
nuestro software Quartus 2 para ello empleamos el siguiente código usando librerías de la
IEEE

Luego nos dirigimos a un diagrama de ondas para saber cuáles van a ser nuestras entradas y
salidas para ir comparando el resultados cerciorarnos de que sea correcto, luego configuramos
nuestros pines para luego ejecutar.
Aqui podemos ver desde el bit mas significativo hasta el menos
significativo, de igual manera para las entradas, es un sistema
hexadecimal entonces va desde el 0 al 15

Para tener una guia de las palabras lógicas vamos a usar la


siguiente imagen donde vamos a modificar nuestras
entradas de y nos cercioramos de nuestra salida.
Suponga que queremos visualizar la letra ‘F’. entonces
observemos la imagen y sabremos que necesitamos
encender los leds e,g,f,a. Son esos los que tenemos que
encender

Entonces forzamos en las


entradas con 1111 y como
resultado tenemos 1110001,
donde en realidad corresponde
a los pines que deseamos
encender para ser precisos se
explica de la siguiente forma
1=g,1=f,1=e,0=d,0=c,0=b,a=1.
2. CODIFICADORES
● Definir que es un codificador, sus aplicaciones y su integrado comercial.

un codificador como un dispositivo que recibe una información por su respectiva entrada y la
compacta, este circuito combinacional cumple una función muy importante ya que permite
pasar información de un sistema a otro con diferente clave, se caracteriza por tener muchas
entradas y pocas salidas, se dice que es una red lógica que se determina por una formula
especial que consiste en 2^n entradas y n salidas en donde las salidas son el código binario
correspondiente a una de las entradas activadas según su criterio, pues teniendo en cuenta
todo lo expresado es de resaltar que los codificadores se dividen en 2 clases con prioridad y sin
prioridad lo cual explicado brevemente radica en que si el codificador es sin prioridad permite
que solo una entrada se torne en el valor de , trabaja con puertas lógicas OR y puede
confundirse un poco cuando un valor de salida corresponda al de varias entradas y por ultimo
su compañero el codificador con prioridad es el que selecciona la entrada de mayor prioridad
cuando haya varias entradas se tomara el valor más alto o de más prioridad.
Estos dispositivos tienen bastantes aplicaciones en el ámbito laboral sobre todo en el área
electrónica e informática resaltan en lo que es la codificación de pequeños teclados, como CAD
o conversores analógicos a digital, para el control de perturbaciones en los ordenadores, en
una calculadora para la conversión a numero decimal etc. Son muchos los ejemplos y las
aplicaciones de estos dispositivos solo es saber que se quiere realizar y como implementar el
circuito, ya hemos hablado un poco de lo que es el codificador cómo se comporta, en que se
puede usar pero nos falta algo importante como lo es los integrados comerciales se debe tener
mucho cuidado en esta parte ya que dependiendo lo que se vaya a hacer se debe conseguir el
dispositivo pero si el que buscamos respecto a su datasheet es muy caro muy duro de
conseguir o no se fabrica toca buscar uno similar o una comercial para que se mas fácil
obtenerlo y así se implemente rápidamente en el proyecto a construir.

Aquí se presentan algunos dispositivos muy útiles y de uso comercial:

74LS147
El circuito Integrado TTL 74LS147 es un codificador prioritario de
10 líneas a 4 líneas y de 8 líneas a 3 líneas de los insumos para
asegurar que sólo el orden más alto de la línea de datos esté
codificado. Este dispositivo tiene entradas y salidas de datos que
están activas en el nivel lógico bajo.
Especificaciones Familia: LS
Tensión de alimentación mínima:4.5 V
El SN74LS148N es un codificador de prioridad de 8 a 3 líneas con
Tensión de alimentación
características máxima:5.5
de descodificación V
de prioridad de las entradas para
74LS148 garantizar que solo se codifique
Corriente de salida baja: -0.4 mAla línea de datos de mayor orden.
El LS147 codifica nueve líneas de datos para BCD de cuatro líneas
Corriente
(8-4-2-1). Lade salida alta:
condición 4 mA de cero decimales no requiere
implícita
ninguna condición de entrada ya que el cero está codificado
Disipación de potencia: 225 mW
cuando las nueve líneas de datos están en un nivel lógico alto.
Especificaciones
Tipo familia: LS
Tipo de lógica del circuito: Enclavador de fase ajustable
Número de salidas: 3
Tensión de alimentación mínima: 4.75 V
5 5 5
Tensión de Champeó Vcc V
±0.25 ±0.25 ±0.25

Tensión de entrada nivel rodilla 2.0 a 2.0 a 2.0 a


V
VIH 5.5 7.0 5.5

Tensión de entrada nivel janiwi -0.5 a -0.5 a -0.5 a


V
VIL 0.8 0.8 0.8

Tensión de salida nivel alto VOH


2.4 a
condiciones de funcionamiento: 3.4
VCC = 4.75, VIH = 2.0

74LS748
• Realizar el esquemático y tabla de verdad de un decodificador de 4 a2 y
de 8 a 3.
Figura 1 Figura 2

DECODIFICADOR DE 4 A 2

Para realizar este punto tome estas imágenes de las diapositivas de mux y demux clase 6,En la
figura 1 se muestra la tabla de verdad que representa un decodificador de 2 líneas de entrada a
4 líneas de salida, en la figura 2 tenemos el esquemático en donde se puede apreciar las dos
entradas y las cuatro salidas haciendo uso de 4 AND y de 2 negadores tenemos I0 e I1
representando un numero entero de 0 hasta 3 en decimal siendo este decodificador de solo 2
bits, G como la habilitación la cual está conectada a todas las entradas de las AND esta
habilitación determina si se activa o no las compuertas 1 lógico para activarla y cero lógico para
desactivarla, es de aclarar que dependiendo del valor que se tenga en las dos entradas se va a
activar una de las cuatro salidas el respectivo valor lógico.
Figura 4
Se anexa un codificador en la figura 4 de 2 a 4 es un dispositivo comercial con entradas A y B y
salidas Y0, Y1, Y2, Y3 tiene su habilitador y salidas en activas en bajo.
FIGURA 5= decodificador 8 a 3

FIGURA 6

Se toman otras 2 figuras de las diapositivas


para hacer la explicación del decodificador
de 8 entradas a 3 salidas este codificador
es para 3 bits las salidas están en activas
bajas por lo tanto se activa una sola de las
salidas dependiendo del código binario que se encuentre en las 3 entradas
como se puede observar en la figura 5 tenemos 8 salidas representadas por 8 compuertas
lógicas AND y 3 entradas con sus respectivos negadores para las salidas que sean cero pues
como ya sabemos se trabaja con min términos los cuales trabajan con 1, podemos observar en
la figura 6 la tabla de verdad con las 3 entradas y las 8 salidas ocupando un solo uno por cada
salida, en las salidas de la figura 5 se observan algunas letras negadas y otras no según lo
visto de los min términos se analiza que las letras que están negadas pertenecen a un 0 lógico
y las que no pertenecen a 1 lógico.

3. DEMULTIPLEXORES

• Definir que es un Demux, sus aplicaciones y su integrado comercial.

Cuando se habla de un demux se refiere a un circuito combinacional que se encarga de tomar


una entrada y de distribuirla en varias salidas, como ya se había dicho se tiene una entrada de
datos e información y n entradas de selección y se determina mediante esta fórmula 2^n, la
selección se encargan del control al transferir los datos de la entrada a la salida, en pocas
palabras estos dispositivos sirven para distribuir los datos que recibe en la entrada, si se
observa bien se puede analizar que este es un dispositivo que hace lo contrario de un
dispositivo multiplexor, el demultiplexor puede recibir a través de un medio de transmisión
compartido una señal compleja multiplexada y separar las distintas señales integrantes de la
misma encaminándolas a las salidas correspondientes, este dispositivo a partir de su función
para la que fue hecho que es para desmultiplexar también puede usarse como un decodificador
realizando cualquier función que un deco haría, el decodificador funciona como un
demultiplexor si contamos el habilitador como una entrada, se puede aplicar en la transferencia
de información es una operación básica en cualquier sistema digital en el proceso de
transferencia entre registros, en el campo de las telecomunicaciones puede recibir a través de
un medio de transmisión compartida una señal compleja que esta multiplexada y aplicarle la
opuesta para obtener las respectivas señales de salida aunque tiene muchas otras aplicaciones
me enfocare en sus integrados pues para implementar algún proyecto necesitamos conseguir
el dispositivo y debemos adaptarnos a los más fáciles de conseguir, más económicos y que nos
sirvan para el circuito a construir por eso se expondrá algunos integrados de uso comercial.

l CD4052BE es un multiplexor / demultiplexor analógico CMOS diferencial de


cuatro canales con conversión de nivel lógico en un paquete DIP de 16 pines.
Es un interruptor analógico controlado digitalmente con baja impedancia de
ENCENDIDO y muy baja corriente de fuga de APAGADO.
El SN74LS138N es un decodificador / demultiplexor de 3 a 8 líneas,
TTL Schottky-clamped diseñado para usarse en aplicaciones de
decodificación de memoria de alto rendimiento o enrutamiento de datos
que requieren tiempos de retardo de propagación muy cortos. En
sistemas de memoria de alto rendimiento, este decodificador se puede
utilizar para minimizar los efectos de la decodificación del sistema.
Cuando se emplea con memorias de alta velocidad que utilizan un
circuito de habilitación rápida

El 74LS47N es un decodificador/controlador de BCD a siete


segmentos con salidas de activación en bajo, diseñadas para
la conducción directa de indicadores incandescentes o LEDs de
ánodo común. El circuito puede impulsar bujías de lámpara o
LEDs de cátodo común. Todos los circuitos, excepto el LS49,
tienen controles de entrada/salida de supresión de cresta
completa y una entrada para prueba de lámpara.

• Realizar el esquemático y tabla de verdad de un demux de 1 a 4 y de 1 a8.

Figura 7 Figura 8

Hago uso del material de diapositivas subido en el classroom para presentar el esquema de 1 a
4 representado en la anterior figura 7 el cual es un demux con una entrada y cuatro salidas con
sus respectivas entradas de selección y al lado se le adjunta la tabla de verdad en la anterior
figura 8 en donde está plasmado la entrada las selecciones y las salidas si se analizan las
salidas en la tabla de verdad se mira que es similar a la de un decodificador se tiene que el
dato se puede tomar alguna salida en Y según el control lo dicte es decir la selección.
Represento el esquema de un
demux de 1 a 8 mediante la figura 9 se tiene un dispositivo con 1 entrada de datos o
información y tres entradas de selección para hacer el control de las salidas, al lado derecho en
la figura 10 tenemos la tabla de verdad del demux que estamos representando según el control
en la selección vemos que las salidas están de forma sesgada y representadas por la letra D
que será la información que corresponda según la entrada, teniendo en cuenta las salidas del
anterior demux a partir de esta tabla de verdad, las expresiones booleanas para todas las
salidas se pueden escribir en forma de ecuaciones las cuales utilizaremos para implementarlas
en ocho puertas AND con 3 negadores NOT.

Describir brevemente el funcionamiento del siguiente sistema:


Pues según lo aprendido se mira esta figura 11 como un sistema a, b, c, d que está enviando
paquetes hacia los sistemas A, B, C, D y para lograr esto se hace uso de un multiplexor de 4 a
1 y de un demultiplexor de 1 a 4 pues se combinan los 4 canales de entrada en el multiplexor
teniendo una sola salida de los paquetes organizados según la selección del mux que consiste
en extraer un paquete de a, luego uno de b después de c y por ultimo d y se vuelve a repetir el
mismo ciclo completando los 8 paquetes en la salida del multiplexor que al mismo tiempo llegan
a la entrada del demux haciendo un proceso de desmultiplexacion y distribuyendo por la salida
del demux la información que son los pequeños paquetes que van para los sistemas A, B, C,
D según como la selección distribuya los paquetes que en este caso es en el mismo orden de
salida se reparten a cada canal que iban.

Por último, se analiza la figura 11 y se saca como conclusión que gracias a estos dispositivos
como lo son el mux y el demux se facilitan más las cosas a la hora que se necesita pasar
información de un sistema compuesto por varios canales hacia otro pues estos dispositivos nos
permiten multiplexar cuatro canales en uno para facilitar el transporte de los datos y después
desmultiplexarlo a cuatro canales para su debida distribución de la información, esta es una
gran ventaja porque si no estuvieran estos dispositivos en el transporte de la información seria
uno a uno seria solo de (a-A), (b-B), (c-C), (d-D) y no en conjunto como se ha realizado en la
figura 11
Bibliografía:

https://es.wikibooks.org/wiki/Circuito_integrado_7408#Caracter%C3%ADsticas_t
%C3%A9cnicas

https://www.carrod.mx/products/ci-ttl-codificador-prioritari-de-8-a-3-lineas-74ls148

https://www.electronicoscaldas.com/es/circuitos-digitales-74ls-series/648-decodificador-
demultiplexor-2-a-4-lineas-74ls155.html

https://www.carrod.mx/products/ci-ttl-decodificador-y-demultiplexor-3-a-8-lineas-74ls138

https://www.carrod.mx/products/cmos-multiplexor-y-demultiplexor-de-8-canales-
analogicos-cd4052

Circuitos digitales- mauro gilberto lopez rodriguez

También podría gustarte