Está en la página 1de 10

DECODIFICADOR

Un decodificador o descodificador es un circuito combinacional, cuya función es


inversa a la del codificador, esto es, convierte un código binario de entrada (natural,
BCD, etc.) de N bits de entrada y M líneas de salida (N puede ser cualquier entero y M
es un entero menor o igual a 2N), tales que cada línea de salida será activada para una
sola de las combinaciones posibles de entrada.
La función básica de un decodificador es detectar la presencia de una determinada
combinación de bits (código) en sus entradas y señalar la presencia de este código
mediante un cierto nivel de salida.
En su forma general, un decodificador posee n líneas de entrada para gestionar n bits y
en una de las n2 líneas de salida indica la presencia de una o más combinaciones de n
bits.
Cabes destacar que una instrucción indica a la computadora qué operación debe
realizar. Las instrucciones se especifican en código máquina (1s y 0s) y, para que la
computadora ejecute una instrucción, ésta debe ser decodificada.
La decodificación de las instrucciones es uno de los pasos en la pipeline (secuencia de
procesamiento) de las instrucciones;
Los pasos de dicho proceso son (PIPELINE):

 La instrucción se lee desde la memoria (extracción de la instrucción)


 La instrucción se decodifica, se leen los operandos desde la memoria
(extracción de operandos)
 Se ejecuta la instrucción y el resultado se escribe de nuevo en memoria.
Básicamente, el procesamiento pipeline permite que se comience a procesar la
siguiente instrucción antes de haber completado la instrucción actúa

Los decodificadores pueden ser de dos tipos:


No Excitadores:
Se denominan así a un tipo de decodificadores cuyas salidas solo pueden acoplarse a
otros circuitos digitales de la misma familia integrada, ya que dan una corriente muy
pequeña en dichas salidas, incapaz de activar ningún otro componente.
Decodificadores Excitadores:
Son aquellos cuyas salidas dan suficiente corriente como para atacar, no solo a otros
circuitos integrados de la misma familia, sino también a otros dispositivos, tales como
displays, lámparas, relés, transductores
Aplicaciones de los decodificadores binarios
Los decodificadores tienen diversas aplicaciones. Algunos ejemplos son:
Para implementar funciones lógicas:
Respecto a las puertas lógicas presentan la ventaja de poder implementar las
funciones lógicas con un menor número de componentes.
En los ordenadores:
El microprocesador de un ordenador se tiene que conectar con diversos periféricos,
enviando y recibiendo datos por los puertos de entrada y salida. Se utiliza un
decodificador para seleccionar el puerto de entrada/salida al que está conectado el
periférico en concreto con el que se desea comunicar.
Diseño de circuitos digitales combinacionales:
Muchos circuitos combinacionales utilizan decodificadores, junto a los codificadores,
para el envío de señales digitales entres dos puntos.

DIFERENTES DECODIFICADORES

Decodificadores binarios básicos.


Es un dispositivo que acepta una entrada digital codificada en binario y activa una
salida. Este dispositivo tiene varias salidas, y se activará aquella que establezca el
código aplicado a la entrada.
Cuando se quiere determinar por ejemplo aparece 1001 en las entradas de un circuito
digital.
Todas las entradas de la puerta AND están a nivel ALTO ya que dicha puerta produce
una salida a nivel ALTO.

Por ejemplo, un decodificador de 2 entradas y 22=4 salidas, tendría la siguiente tabla


de verdad:
Ecuación

¨Para cada posible condición de entrada, una y sólo una señal de salida tendrá el valor
de 1 lógico¨
Que una vez implementado con puertas NOT y AND, quedaría:

Decodificador BCD a decimal


Dentro de este mismo tipo de decodificadores tenemos el BCD a decimal. Supongamos
que deseamos decodificar una instrucción BCD que represente un número dígito
decimal, como puede ser el 5; esta operación se puede llevar a cabo con una puerta
AND de cuatro entradas excitadas por los cuatro bits BCD. Por ejemplo, la salida de la
puerta AND será 1 si las entradas son 1 0 1 0. Puesto que este código representa el
número decimal 5, la salida se señala como línea 5.
Si completamos un decodificador BCD a decimal, éste tendrá cuatro entradas,
normalmente denominadas A, B, C y D, y diez líneas de salida. Las entradas
complementarias, /A, /B, /C y /D, se pueden obtener por medio de inversores dentro
del propio integrado. Habitualmente, para su construcción se emplean puertas NAND
y, por lo tanto, una salida es 0 si el código resulta correcto en BCD y será 1 para
cualquier otro código no válido. A este sistema digital también se le denomina
decodificador 4 a 10, indicando que una entrada de cuatro bits selecciona una de las
diez líneas de salida.
El decodificador de 4 bits o decodificador 1 de 16.
Se utiliza para poder decodificar todas las combinaciones de 4 bits. Para cualquier
código dado en las entradas solo se activa una de las posibles dieciséis salidas. Si
requerimos una salida a nivel bajo, el decodificador de puede implementar con puertas
NAND e inversores, uno por cada salida.
El decodificador 1 de 16 MSI74154. Se trata de un decodificador en circuito integrado.
Este dispositivo tiene una función de enable (Habilitado). En las entradas de selección
de chip y se quiere un nivel bajo para obtener en la salida de la puerta de activación
(EN)un nivel alto.

• Con 4 bits de entrada y se puede lograr que se active una sola de 16 posibles salidas,
con igual número de combinaciones 24 =16
• Se les conoce también como decodificadores de 4 líneas a 16 ó 1 de 16. Esto debido a
que para una combinación de 4 bits de entrada tendrá una salida posible activa.
Descodificador 7 segmento
Llamado también display por calco del inglés) es una forma de representar caracteres
en equipos electrónicos. Está compuesto de siete segmentos que se pueden encender
o apagar individualmente. Cada segmento tiene la forma de una pequeña línea. Se
podría comparar a escribir números con cerillas o fósforos de madera.
Este circuito combinacional es muy común ya que activa simultáneamente varias
salidas, decodifica la información de entrada en BCD a un código de siete segmentos
adecuado para que se muestre en un display de siete segmentos, es el procedimiento
empleado en todas las calculadoras, los relojes digitales.

Tabla de verdad
 En esta imagen podemos ver, qué segmentos están encendidos en dos
números, el 6 y el 7. En el 7, como indica la tabla de verdad, están encendidos
el a, el b y el c (encendido quiere decir en este caso valor lógico a 1).
 En el 6, están apagados el a y el b (apagado quiere decir valor lógico a cero).

Tipos de display 7 segmentos


Existen dos tipos principales para los display 7 segmentos. Esta diferencia depende
principalmente del arreglo como están conectados los leds que forman a cada
segmento. Sabemos que un led tiene dos terminales que se denominan: cátodo y
ánodo.
El ánodo es la parte positiva del LED, mientras que el cátodo es el pin negativo.
Entonces los tipos de display de 7 segmentos se dividen en aquellos de cátodo común
y los de ánodo común. Entonces el display tendrá además de los 7 segmentos, 1 pin
común. Este pin común se conecta al cátodo o al ánodo dependiendo del tipo de
display.

Display 7 segmentos cátodo común


El display cátodo común es aquel que tiene el pin común conectado a los negativos de
los LED’s (cátodo). Esto significa que este tipo de display se «controla» con ‘1’ s lógicos
o con voltaje positivo. El arreglo para un display de cátodo común sería el siguiente:
Display 7 segmentos ánodo común
El display ánodo común es aquel cuyos ánodos están conectados al mismo punto. Este
tipo de display es controlado por ceros, es decir que el microcontrolador o MCU, FPGA
o microprocesador, le asigna a cada segmento unos cero lógicos (también llamada
tierra digital). El esquema o diagrama del display de 7 segmentos en ánodo común es:

Aplicación de los decodificadores: Implementación de funciones lógicas con


decodificadores
Una de las principales aplicaciones de los decodificadores es que permite implementar
ecuaciones correspondientes al funcionamiento de una función lógica.

Ejemplo. A partir de la tabla de la verdad siguiente:


La función canónica será:
Para implementar la función lógica empleando un decodificador, actuaremos del
siguiente modo:
En primer lugar, se debe utilizar un decodificador que tenga igual o mayor número de
líneas de entrada que el número de variables de la función lógica. En nuestro ejemplo
un decodificador de cuatro a diez líneas, con salidas activas a nivel bajo y conectando a
masa la entrada de mayor peso.
Luego, miramos las salidas del decodificador que hacen que la salida de la función sea
1, según la tabla de verdad, corresponde a las salidas:
S1=001

S3=011

S4 =100

S7=111

Ahora debemos sumar estos términos para conseguir la función lógica deseada, para lo
que emplearemos el tipo de puertas adecuado que podrán ser:

 Puertas OR, si hemos utilizado decodificadores con salidas activas en nivel alto,
ya que la función se debe activar cuando sea 1, uno o varios de los términos
que constituyen la función.
 Puertas NAND, si hemos utilizado decodificadores con salidas activas en nivel
bajo, ya que la función se debe activar cuando sea 0 uno o varios de los
términos que constituyen la función.
En caso de que alguna combinación de la tabla de verdad que provocan 1 en la salida
de la función no tuviera correspondencia con las salidas del decodificador, se
confeccionarán mediante puertas lógicas la combinación correspondiente, llevándose
la salida de esta combinación junto a la del circuito, a una puerta OR final.
Ejemplo 1
Implementar utilizando un decodificador el circuito correspondiente a una función
lógica F(A,B,C), que debe cumplir que será cero cuando las tres variables de entrada
estén a nivel bajo, o cuando la variable B se encuentre en estado alto si A no lo está. En
los demás casos la función dará un 1 en la salida.
Solución.
En primer lugar, escribimos su tabla de verdad de la función lógica propuesta.

Entradas Salidas

Decimal C B A F

0 0 0 0 0

1 0 0 1 1

2 0 1 0 0

3 0 1 1 0

4 1 0 0 1

5 1 0 1 1

6 1 1 0 1

7 1 1 1 1

La función canónica será:

S= D́ Ć B́ A+ D́ C B́ Á + D́ Ć B Á+ D́ CB Á+ D́CBA


Que una vez implementada quedará:
Ejemplo 2:
Control de lámparas de un semáforo
Con 2 elementos para transmitir órdenes, se pueden conseguir 4 (2 2) instrucciones
distintas, a esto es a lo que llamamos, información codificada en binario.
Un semáforo debe tener tres salidas que serán cada una de las tres lámparas, (se
podría añadir una cuarta salida que indicase avería), este lo podemos conseguir con un
decodificador de dos entradas y cuatro salidas, con lo que en cada instante solamente
puede estar activada una única lámpara, y el circuito de control semafórico que
diseñemos solamente deberá disponer de dos salidas.

Si el sistema de control envía el número 0 codificado, se activará la luz roja, que está
asociada a esa combinación de las variables de entrada, y así sucesivamente.

También podría gustarte