Está en la página 1de 14

1

UNIVERSIDAD NACIONAL DEL CALLAO


FACULTAD DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA

ESCUELA PROFESIONAL DE INGENIERÍA ELECTRÓNICA

CIRCUITOS DIGITALES

Laboratorio N° 5

Profesor: Mg. Moscoso Sánchez Jorge Elías

Tema: Mapas de Karnaugh


Autores:

Garry Jaen Flores Torres 1613225292

Gonzalo Rodrigo Muñoz Flores 1623225517

Limber Luis Vilca Aguilar 1623225026

Mario Anthony Lenes Almiròn 1623225108

Callao - Perú

2019 B
2

LABORATORIO DE CIRCUITOS
DIGITALES
CODIFICADORESY
DECODIFICADORES
Garry Jaen Flores Torres
garryflores56@gmail.com

Gonzalo Rodrigo Muñoz Flores


ro9.diaz@outlook.com

Lenes Almiron Mario Baracus


lenesalmiron.m@gmail.com

Limber Luis Vilca Aguilar


Limber1996@outloock.com
3

circuitos podremos presentar las respectivas puesto 4 combinaciones de las 2 4=16 posibles. Las celdas
implementaciones en un protoboard.
sombreadas en azul son las salidas.
FUNDAMENTO TEORICO

1) Codificadores
Un codificador es un circuito combinacional con un
conjunto de entradas (2 N) y un número de salidas N cuyo
propósito es mostrar en la salida el código binario
correspondiente a la entrada activada.
2) Decodificadores
Los decodificadores efectúan la operación inversa de los
codificadores. Disponen de un conjunto N de entradas y
un conjunto 2N de salidas. Cuando aparece un código
binario a la entrada, se activa (tiene un 1) la salida
Codificador binario (Extraído de la Wikipedia)
identificada con el número decimal equivalente .
Por ejemplo, un codificador de 4 entradas X0, X1, X2, X3
y 2 salidas S0, S1. Si se activa la entrada X0 mediante la
introducción de un 1, el código mostrado a la salida será
S0S1=00. Y así para el resto de las entradas: X1 activará
una salida 01, X2 activará una salida 10 y X3 activará una
salida 11. Obsérvese que el valor en binario de la salida en
su conjunto 00, 01,10, 11 es igual al número decimal de la
entrada activada 0, 1, 2,3 que acompaña a la letra ‘X’.

Las funciones algebraicas de un codificador se pueden En el siguiente ejemplo, se plantea un codificador de


deducir a partir de su funcionamiento. Por ejemplo, en el 2 a 4, que tiene la siguiente tabla de verdad (las
celdas sombreadas en azul son las salidas):
caso de que se disponga de un codificador de 4 entradas (y
dos salidas) éstas serán:

 S0 = X1 + X3
 S1 = X0 + X2

Para ello, se ha tenido en cuenta que la salida S0 sólo vale


1 para los valores 1 y 3 (en decimal) o 01 y 11 (en binario).
La salida S1 sólo vale 1 para los valores 2 y 3 (en decimal)
o 10 y 11 (en binario). En esta tabla se disponen dos entradas E1, E0 y 4 salidas
Z3, Z2, Z1 y Z0. Se activará un 1 en la salida
Para obtener estas funciones se ha considerado que nunca
correspondiente al código introducido en la entrada.
va a producirse una combinación a la entrada que tenga
más de un 1 y por lo tanto, no importa el valor que produce
Las funciones de salida son bastante sencillas de obtener a
a la salida esa situación. Todo ello lo podríamos resumir en
partir de esta tabla de verdad:
la siguiente tabla de verdad resumida en la que sólo se han
4

 Z3 = E1·E0 de flanco positivo o negativo automático (RBI\ y


 Z2 = E1·E0′ RBO\).
 Z1 = E1’·E0
 Z0 = E1’·E0′
Se puede considerar que la función de estos dispositivos es
la de generar los 2N minitérminos de las “N” variables de
entrada. Esta visión es muy interesante porque pueden ser
utilizados para la implementación de cualquier función
algebraica del mismo número de variables.

a) CIRCUITO INTEGRADO 74147: Este IC es un


c) CIRCUITO INTEGRADO 7404: En lógica digital,
decodificador de prioridad de función de un inversor, puerta NOT o compuerta NOT es una
codificador TTL de las entradas para garantizar puerta lógica que implementa la negación lógica.
que solo se codifique la línea de datos de orden Siempre que su entrada está en 0 (cero) o en baja, su
superior. Los dispositivos '147 y' LS147 codifican salida está en 1 o en alta. La función física del
nueve líneas de datos en BCD de cuatro líneas (8- inversor, es la de cambiar en su salida el nivel del
4-2-1). La condición de cero decimales implícitos voltaje de su entrada entre los definidos como lógico
no requiere ninguna condición de entrada, ya que alto y lógico bajo. El circuito integrado 7404 cuenta
el cero se codifica cuando las nueve líneas de con 6 inversores independientes con tecnología
datos están en un nivel lógico alto. Se han TTL. Cada inversor puede ser usado sin la necesidad
proporcionado circuitos en cascada (habilitar la de conectar los demás. Su salida es el estado inverso
entrada EI y habilitar la salida EO) para permitir a su entrada, la cual no debe ser superior al voltaje
la expansión octal sin la necesidad de circuitos de alimentación del circuito integrado., El circuito
externos. Para todos los tipos, las entradas y integrado, 7404, cuenta con 6, inversores,
salidas de datos están activas en el nivel lógico independientes con, tecnología TTL, . Cada inversor
puede ser usado sin la necesidad de conectar los
bajo.
demás. Su salida es el estado inverso a su entrada, la
cual no debe ser superior al voltaje de alimentación
del

b) CIRCUITO INTEGRADO 7447: El 7447 es un


decodificador/controlador de BCD a siete segmentos
con salidas de activación en bajo, diseñadas para la
conducción directa de indicadores incandescentes o circuito integrado.
LEDs de ánodo común. El circuito puede impulsar
bujías de lámpara o LEDs de cátodo común. Todos
los circuitos, excepto el LS49, tienen controles de
entrada/salida de supresión de cresta completa y una
entrada para prueba de lámpara. Los patrones de
visualización para los contadores de entrada BCD
superiores a 9 son símbolos únicos para autenticar
las condiciones de entrada. Sus circuitos incorporan
control de
puesta a cero
5
Tema: USO DE CODIFICADORES Y DECODIFICADORES.
Objetivo general

· Aplicar codificadores y decodificadores

Objetivos específicos
· Utilizar codificadores para la introducción de datos en un
circuito.
· Utilizar decodificadores para la presentación de datos.
· Reconocer los diferentes tipos de decodificadores.

Materiales y equipo

· 1 Módulo PU-2000
· 1 Par de puntas para Multímetro
· 1 Par de puntas para las fuentes de alimentación
· 1 IC 74147
· 1 IC 7447
· 1 IC 7404
· 1 Breadboard
· 2 Resistencias limitadoras de 330 Ω
· 4 LEDs
· 1 Presentador. (Display)

Tarea previa

Simule los circuitos que se presentan en la práctica a


desarrollar. Figuras 5.1, 5.2, 5.3 y 5.4 complete la guía a
lápiz con los resultados de estos. Imprima los circuitos
simulados y preséntelos al docente de laboratorio.
Procedimiento
CODIFICADORES
1. Implemente el circuito que se presenta en la Figura 5.1.
en un extremo de la
breadboard.

2. Coloque los LEDs en


la breadboard en el
ordenquese
presentan en la
figura.
3. Verifique que los
interruptores
estén Figura 5.1. Circuito para la comprobar el
abiertos.
funcionamiento del codificador 74147.
4. Anote el código binario observado y que se forma en los
LEDs, tomando en cuenta que el LED D3 es el más
significativo:

Interruptor Código Interruptor Código Interruptor Código


activado binario activado binario activado binario
S1 S4 S7
S2 S5 S8
S3 S6 S9
Tabla 5.1 Tabla para verificar el funcionamiento del 74147.
Orden de Interruptor Código Interruptor Código
activación cerrado binario cerrado binario
Primero S2 S5
Segundo S4 S2
Tercero S9 S4
Cuarto S5 S1
Tabla 5.2. Verificación de la prioridad del codificador 74147.
Figura 5.2 Circuito decodificador
de 2 a 4.

5. Cierre y abra el interruptor S1 y observe el código formado


en los LEDs. Anote el código observado en la tabla 5.1.
6. Repita el paso anterior para
cada uno de los interruptores
que se presentan en la tabla
5.1.

7. Verifique que todos los


interruptores estén abiertos.
8. Complete la columna 3 de la
Tabla 5.2 anotando los
códigos que se obtienen en
los LEDs al cerrar los
interruptores en el orden que
se indica en la columna 2 de la misma tabla.
9. Verifique nuevamente que todos los interruptores estén
abiertos.
10. Repita el paso 8 para las columna 4 y 5 de la tabla 5.2.
11. No desarme el circuito implementado porque se utilizara más
adelante en la práctica.
DECODIFICADORES.
12. Implemente el circuito que se presenta en la Figura 5.2. en
el otro extremo de la breadboard.
A1 A0 LED apagado

0 0
0 1
1 0
1 1
Tabla 5.3
Comprobación del
funcionamiento del
decodificador
Figura 5.3 Circuito
74139
decodificador de BCD a 7 segmentos
13. Abra y cierre los interruptores A1 y A0 formando los
códigos que se tienen en la tabla
D C B A DISP1A
5.3 y complétela con los datos
solicitados. 0 0 0 0
14. Desconecte el cable del terminal 0 0 0 1
Ea y conéctelo a +5V.
0 0 1 0
15. ¿Qué LED se apaga?________________
0 0 1 1
16. Abra y cierre los interruptores
0 1 0 0
A1 y A0 como lo hizo en el paso
13 y observe el comportamiento de 0 1 0 1
los LEDs. Describa el 0 1 1 0
comportamiento
observado:_______________________ 0 1 1 1
_________________________________ 1 0 0 0
_________________________________
1 0 0 1
_________________________________
________________________________ 1 0 1 0
17. Desmonte el circuito 1 0 1 1
decodificador.
1 1 0 0
18. Implemente el circuito que se
1 1 0 1
presenta en la Figura 5.3. en el
otro extremo de la breadboard. 1 1 1 0

El CI 7447 es un decodificador 1 1 1 1
especial para la presentación de Tabla 5.4 Comprobación
datos denominado “decodificador de del circuito de la
BDC a 7 segmentos”. Figura 5.2
19. Genere en las entradas del
circuito de la figura 5.3 los códigos que se presentan en
la tabla de verdad de la tabla 5.4 y complete la columna
DISP1 con el símbolo que observa en el presentador.
20. Conecte a tierra el terminal del circuito integrado
denominado “test”.
21. Coloque algunos códigos en las entradas del circuito.
Describa lo que observa:
___________________________________________________________
CODIFICADOR Y DECODIFICADOR DE 7 SEGMENTOS.
22. Implemente el circuito que se presenta en la Figura 5.4.
Note que la parte 1 y 2 del circuito ya esta implementada,
falta añadir los inversores que conectan ambas partes.
23. Verifique que todos los interruptores estén abiertos.
24. Cierre el interruptor S1.
25. Anote los datos que se solicitan en la tabla 5.5
26. Repita el procedimiento del paso 24 al 25 y anote los
resultados en la tabla 5.5

Figura 5.4 Circuito de entrada y presentación de números.

Interruptor Código a la Código a la Dato en el


cerrado sal. del 74147 ent. del 7447 presentador
S1

S2

S3

S4

S5

S6

S7

S8

S9

Tabla 5.5. Datos obtenidos del circuito de la figura 5.3.


Análisis de Resultados

1. Analice el código de la tabla 5.1 ¿Qué relación hay con el


hecho de cerrar un interruptor específico y el respectivo
código entregado por el 74147?
___________________________________________________________
___________________________________________________________
En el paso 4 se tomó un dato cuando todos los interruptores
estaban abiertos ¿Qué significado tiene este código
respecto al funcionamiento del codificador? ________
___________________________________________________________
2. En el procedimiento de la Tabla 5.2 se cerraron
secuencialmente los interruptores S2, S4, S9 y S5 lo que
generó cambios en los códigos vistos ¿Qué relación hay
entre los interruptores cerrados y los códigos?
_________________________________________________________
Explique el motivo de este comportamiento:
___________________________________________________________
___________________________________________________________
3. En la tabla 5.3 ¿Qué relación guardan los códigos binarios
con el LED que se apaga? __________________________________
___________________________________________________________
4. En el paso 14 se conectó el terminal Ea a +5V ¿Qué efecto
tiene en el comportamiento del circuito? __________________
___________________________________________________________
¿Cuál es la razón de este comportamiento? _________________
___________________________________________________________
5. De la tabla 5.4 Conforme al código introducido ¿Qué
relación existe entre los códigos introducidos y el símbolo
observado?
___________________________________________________________
Esta relación ¿Se mantiene para todos los códigos? Si su
respuesta es “no” indique para que códigos:
___________________________________________________________
6. En el paso 20 se conectó el terminal test a tierra ¿Qué
efecto tiene en el comportamiento del circuito?
__________________________________________________
¿Cuál es la razón de este comportamiento?
___________________________________________________________
7. En la tabla 5.5. ¿Qué relación existe entre el interruptor
cerrado a la entrada del circuito y el dato que se muestra en el
presentador? _________________________________________
8. Del circuito de la Figura 5.4 ¿Qué función hacen los
inversores?
___________________________________________________________
___________________________________________________________
9. ¿Cómo se comportaría el circuito si no se colocaran los
inversores? Explique cada etapa del circuito.
___________________________________________________________

Bibliografía

· M. Mano. “Diseño Digital”. 3ª Edición. Editorial Prentice Hall.


2003. pp. 134-141.
PROCEDIMIENTO Y SIMULACION DE LOS
CIRCUITOS A IMPLEMENTAR.

Figura 5.4

Figura 5.1

CONCLUSION

Se concluye que en el presente experimento se el


funcionamiento de los circuitos codificador y
decodificador , tanto en su simulación y en la
implementación en breadboard se pudo apreciar las
indicaciones dadas en la tarea, se pudo a preciar su
manera de trabajo de dichos circuitos incluyendo las
dificultades que puede significar implementar el circuito
final ya que la codificación y decodificación conllevan
adición de más elementos como aumentar una compuerta
not para negar la salida invertida del codificador.

Figura 5.2 REFERENCIAS.

-https://automatizaciondigital.wordpress.com/
codificadores-y-decodificadores/

- https://es.wikipedia.org/wiki/Decodificador

- Fundamentos de Sistemas digitales- Floyd


- https://books.google.com.pe/books?
id=UfP_uUACFyoC&pg=PA113&lpg=PA113&dq=74ls47
+lamp+test&source=bl&ots=vo7RCIT9hR&sig=ACfU3U
04-
VV3RYV22QVId2HGaJcqJgyfgw&hl=es&sa=X&ved=2a
hUKEwjTzZyfz7HlAhWGslkKHUOMD8UQ6AEwEnoE
Figura 5.3 CAgQAQ#v=onepage&q=74ls47%20lamp
%20test&f=false

- https://prezi.com/2lijoy0ae92n/codificadores-y-
decodificadores-digitales/

También podría gustarte