Está en la página 1de 15

UNIVERSIDAD NACIONAL MAYOR DE SAN

MARCOS Facultad de
ingeniera Electrnica y Elctrica

E.A.P.: Ing. Electrnica


Curso: Circuitos Digitales I
Alumno: Freddy Ipanaque Castillo
Cdigo: 15190013
Tema: Circuitos Codificadores y
Decodificadores
Profesor: Ing. Casimiro

14-04-2017
1. Qu es un circuito codificador? Y un
decodificador? Explique
CODIFICADOR
Es un circuito combinacional formado por 2 a la n entradas y n salidas cuya funcin es tal
que cuando una sola entrada adopta un determinado valor lgico (0 o 1 , segn las
propiedades del circuito) las salidas representan en binario el nmero de orden de la
entrada que adopte el valor activo.

Los codificadores comerciales construidos con tecnologa MSI son prioritarios, esto quiere
decir que la combinacin presente a la salida ser la correspondiente a la entrada activa de
mayor valor decimal.

El diseo de un codificador se realiza como el de cualquier circuito combinacional.

DECODIFICADOR
Son circuitos combinacionales de N entradas y un nmero de salidas menor o igual a 2^n.
Bsicamente funciona de manera que al aparecer una combinacin binaria en sus entradas,
se activa una sola de sus salidas (no siempre).

Los codificadores realizan la funcin inversa a los codificadores. Un decodificador


selecciona una de las salidas dependiendo de la combinacin binaria presente a la entrada.

2. Explique el funcionamiento del circuito 74LS147,


circuito codificador de prioridad de entrada decimal
y salida BCD.

Se entender mejor con un ejemplo: En una calculadora cuando pulsamos cualquiera de las
diez teclas numricas de una calculadora estamos marcando un nmero decimal, pero el
calculador opera con nmero en binario. Para expresar en binario del 1 al 10, necesitamos
al menos cuatro bits, ya que con tres solamente podramos establecer 2^3 =8
combinaciones posibles (es decir del 0 al 7) y no podramos codificar los diez dgitos
necesarios (faltaran el 8 y el 9).
Por tanto emplearemos 4 salidas. Como con 4 salidas (4 bits) tenemos 16 combinaciones y
empleamos 10 (del 0 al 9), o bien dejaremos seis combinaciones sin emplear, o las
utilizaremos para codificar cualquier otra funcin representada en alguna de las teclas de la
calculadora (el +, el -, el , el , el = y la ; por ejemplo)
La tabla de verdad del codificador ser:

A partir de la tabla se deduce que la salida S1 ser 1 si lo es la entrada A9, o la A7, o la A5, o
la A3, o la A1, de ah que la ecuacin lgica que corresponde a esta salida sea la suma de
las entradas 1, 3, 5, 7 y 9. Si seguimos analizando la tabla obtendremos, de forma anloga,
las ecuaciones que tienen que cumplir las salidas S2, S3 y S4.

En el caso de se activasen ms de una entrada estaramos ante el dilema de qu entrada


debera codificarse?, o se producira una seal de error en la salida, por ello los
codificadores pueden ser sin prioridad, (no suelen emplearse), y los codificadores con
prioridad, generalmente a la entrada ms significativa, en este caso la tabla de verdad sera:

Es decir si por cualquier circunstancia se activase ms de una entrada simultneamente, el


codificador presentar en la salida la correspondiente al cdigo de la entrada que tenga
asignado un mayor peso, es decir la ms significativa, resultando indiferente los valores que
tomasen las otras entradas menos significativas.
En la figura adjunta se muestra el circuito integrado combinacional correspondiente a un
codificador con prioridad de 9 entradas y cuatro salidas.

3. Analizar la operacin del decodificador 74LS47 y


su uso con un desplaye de siete segmentos de nodo
comn. Cmo hallara experimentalmente cada uno
de los terminales de un desplaye de siete segmentos
de nodo comn?
Muchas presentaciones numricas en dispositivos de visualizacin utilizan una
configuracin de 7 segmentos para formar los caracteres decimales de 0 a 9 y algunas
veces los caracteres hexadecimales de A a F. Cada segmento est hecho de un material
que emite luz (Desplaye) cuando pasa corriente a travs de l, los patrones de segmentos
que sirven para presentar los diversos dgitos.

El decodificador 7447 est diseado para activar segmentos especficos, aun de cdigos de
entrada mayores que 1001 (9). La figura N 2 muestra las representaciones para los
cdigos desde 0000 hasta 1111. Note que un cdigo de entrada de 1111 borrar todos los
segmentos.
Figura N 2. Modelos de segmentos para todos los posibles cdigos de entrada.

El decodificador BCD a decimal, tiene su tabla de verdad, y es la siguiente:

Los decodificadores pueden ser de dos tipos:


No Excitadores. Se denominan as a un tipo de decodificadores cuyas salidas solo
pueden acoplarse a otros circuitos digitales de la misma familia integrada, ya que
dan una corriente muy pequea en dichas salidas, incapaz de activar ningn otro
componente.
Decodificadores Excitadores. Son aquellos cuyas salidas dan suficiente corriente
como para atacar, no solo a otros circuitos integrados de la misma familia, sino
tambin a otros dispositivos, tales como displays, lmparas, rels, transductores,
etc.

Un decodificador muy comn es el de siete segmentos, este circuito combinacional activa


simultneamente varias salidas, decodifica la informacin de entrada en BCD a un cdigo
de siete segmentos adecuado para que se muestre en un display de siete segmentos, es el
procedimiento empleado en todas las calculadoras, los relojes digitales, etc.

Su tabla de verdad sera:


En las imgenes siguientes puedes ver, qu segmentos estn encendidos en dos nmeros, el 6
y el 7. En el 7, como indica la tabla de verdad, estn encendidos el a, el b y el c (encendido
quiere decir en este caso valor lgico a 1)

En el 6, estn apagados el a y el b (apagado quiere decir valor lgico a cero)


4. Analizar y simular el funcionamiento del
decodificador 74139, 74138 y 74154

CIRCUITO INTEGRADO TTL 74138 DECODIFICADOR 3:8/DEMULTIPLEXOR DE 1:8.

Este circuito integrado contiene un de multiplexor 1:8, que tambin puede funcionar
como decodificador 3 a 8.

La relacin de pines de este integrado es la siguiente:


A, B y C: entradas de seleccin activas a nivel alto (5V).
E3: entrada de validacin o de dato activa a nivel alto (5V).
E2 y E1: entradas de validacin activas a nivel bajo (0V).
Y0, Y1, Y2, Y3, Y4, Y5, Y6, Y7: salidas del de multiplexor activas a nivel bajo (0V).

La tabla de verdad y el montaje del de multiplexor es la siguiente:


Para que el circuito funcione como de multiplexor la entrada E3 tiene que estar a 1 y una
de las otras dos (E2 o E1) a 0. Si E2=0 el dato se introduce por E1 y si E1=0 el dato se
introduce por E2. En ambos casos el dato es activo a nivel bajo al igual que las salidas.

Para realizar la decodificacin las variables de validacin deben valer E1=0, E2=0 y E3=1.

Al estar la salida seleccionada a nivel bajo (0V) para visualizar la de multiplexacin o la


decodificacin colocamos el LED de tal manera que se encienda cuando hay 0V a la
salida y se apague con 5V en la salida.
CIRCUITO INTEGRADO TTL 74154 DECODIFICADOR 4:16/DEMULTIPLEXOR DE 1:16.

Este circuito integrado contiene un de multiplexor 1:16, que tambin puede funcionar
como decodificadores 4 a 16.

La relacin de pines de este integrado es la siguiente:

A, B, C y D: entradas de seleccin activas a nivel alto (5V).


G1 y G2: entradas de validacin o datos activas a nivel bajo (0V).
Y0, Y1, Y2, Y3, Y4, Y5, Y6, Y7, Y8, Y9, Y10, Y11, Y12, Y13, Y14, Y15: salidas del de
multiplexor activas a nivel bajo (0V).

La tabla de verdad y el montaje del de multiplexor es la siguiente:


Al estar la salida seleccionada a nivel bajo (0V) para visualizar la de multiplexacin o la
decodificacin colocamos el LED de tal manera que se encienda cuando hay 0V a la
salida y se apague con 5V en la salida.

Podemos observar que cuando las entradas de validacin G1 y G2 estn a 0 (nivel bajo
0V), las entradas de seleccin marcan la salida activa a nivel bajo (0), funcionando como
un decodificador 4 a 16.

Cuando G1=0, podemos introducir el dato por G2 (activo a nivel bajo 0V) y obtenerlo en
la salida seleccionada por A, B, C y D tambin a nivel bajo (0V). Lo mismo ocurre si G2 =
0, ahora el dato podemos introducirlo por G1 (activo a nivel bajo 0V) y obtenerlo en la
salida seleccionada por A, B, C y D tambin a nivel bajo (0V). Este funcionamiento sera
como de multiplexor 1:16.

Cuando G1 = G2 = 1, todas las salidas estn inactivas a nivel alto (5V), actuando G1 y
G2 como entradas de inhibicin del circuito integrado.
5. Analizar la operacin del decodificador 74LS155
como un decodificador dual 2 x 4 o como un decodificador
simple de 3 x 8.
CIRCUITO INTEGRADO TTL 74155 DOBLE DECODIFICADOR 2:4/DEMULTIPLEXOR
DE 1:4.

Este circuito integrado contiene dos de multiplexores 1:4, que tambin pueden funcionar
como decodificadores 2 a 4.

La relacin de pines de este integrado es la siguiente:

A y B: entradas de seleccin comunes a los dos de multiplexores activas a nivel alto


(5V).
1G y 2G: entradas de inhibicin o STROBE de los de multiplexores 1 y 2
respectivamente, activas a nivel bajo (0V).
1C y 2C: entradas del dato de los de multiplexores 1 y 2 respectivamente. 1C es
activa a nivel alto (5V) y 2C es activa a nivel bajo (0V).
1Y0, 1Y1, 1Y2, 1Y3: salidas del de multiplexor 1 activas a nivel bajo (0V).
2Y0, 2Y1, 2Y2, 2Y3: salidas del de multiplexor 2 activas a nivel bajo (5V).

Con esta lgica en los pines, el dato 1C est invertido en las salidas 1Y0, 1Y1, 1Y2, 1Y3,
mientras que el dato 2C no lo est en 2Y0, 2Y1, 2Y2, 2Y3.

La tabla de verdad y el montaje del de multiplexor 1 es la siguiente:


Podemos observar que cuando la entrada del Strobe (1G) est a 0 y la del dato (1C)
est a 1, el de multiplexor 1 se comporta como un decodificador de dos entradas (A y B)
y cuatro salidas activas a nivel bajo.
6. En la figura se muestra un circuito decodificador
de 5 bits que utiliza el CI 74HC154. Explicar su
funcionamiento. Explique cmo funciona si el
nmero binario es A4A3A2A1A0? Qu resultado
obtendremos en las salidas si la entrada binaria es
10110?
1.

El circuito tiene cortocircuitadas sus entradas de habilitacin, pero al segundo decodificador


la habilitacin con respecto al primero es opuesto, es decir, solamente un decodificador est
activo a la vez, por otro lado se tienen 4 bits de entrada y por consiguiente 16
combinaciones posibles, por lo tanto si aplicamos los datos 10110, primero notamos que el
primer decodificador queda inhabilitado, mientras que el segundo queda habilitado, la
combinacin correspondiente a los bits A3A2A1A0 es 0110 que corresponde a la ubicacin
nmero 6, que para el segundo decodificador es la numero 22, entonces tendremos la
activacin(salida 0) del puerto 22 del decodificador de 5 bits.
7. Un circuito combinacional tiene 3 entradas X, Y, Z
y 3 salidas F1, F2, F3 donde:
F1 = XZ +/X /Y /Z F2 = /X Y + X /Y /Z
F3 = X Y + /X /Y Z
Nota: /X = X negado, etc.
Implementar con un CI decodificador 74LS155 y
compuertas bsicas.
Tambin lo puede implementar con otros
decodificadores.

A) F1 = XZ +/X /Y /Z

F1 = XYZ+X/YZ+/X/Y/Z
F1 = /((/X+/Y+/Z)(/X+Y+/Z)(X+Y+Z)

B) F2 = /X Y + X /Y /Z

F2 = /XYZ+/XY/Z+X/Y/Z
F2 = /((X+/Y+/Z)(X+/Y+Z)(/X+Y+Z))

C) F3 = X Y + /X /Y Z

F3 = XYZ+XY/Z+/X/YZ
F3 = /((/X+/Y+/Z)( /X+/Y+Z)(X+Y+/Z))

El circuito deseado ser:

También podría gustarte