Está en la página 1de 16

ELECTRONICA DIGITAL

CÓDIGO: (243004 A 614)

Tarea 4

Presentado al tutor (a):


SANDRA MILENA GARCIA

Entregado por el (la) estudiante:

JHENYFEER MALLERLY BELTRAN AVILA Código: 1120375204

WILLINGTON PARADA ALMANZA. Código: 79706115


SAIR ALBERTO PINEDA GAMBOA Código: 1094267818

Grupo: 56

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA - UNAD


ESCUELA DE CIENCIAS BÁSICAS TECNOLOGÍA E INGENIERÍA
FECHA 28 NOVIEMBRE 2019
GRANADA META
INTRODUCCIÓN

En el presente informe podemos encontrar el desarrollo de un cronometro que por medio de un contador
descendente permite realizar una cuenta regresiva de un número N definido por cada estudiante. Así
mismo, se puede evidenciar la puesta en práctica de diversos conceptos vistos con anterioridad en el
desarrollo del curso.
De igual forma, se realiza la implementación y desarrollo del sistema en VHDL por medio del software
de simulación en EDA Playground, el cual permite la programación del circuito y la simulación de este
con la aplicación de un reloj el cual se encarga de marcar el sincronismo entre todos los elementos del
circuito. Cada vez que hay un cambio, de 0 a 1, del reloj, los elementos de memoria se cargan con los
nuevos datos calculados durante el periodo transcurrido entre el cambio anterior y el actual.
OBJETIVOS

✓ Implementar y desarrollar por medio de VHDL un cronometro que permite realizar una cuenta
regresiva con un valor inicial definido por cada estudiante (edad).

✓ Ejecutar cada una de las sentencias propuestas en el diagrama de bloques para que el circuito
funcione de manera correcta.

✓ Analizar por medio del simulador el funcionamiento del sistema propuesto.

✓ Aplicar conceptos adquiridos en el desarrollo del curso para lograr la solución de la actividad
solicitada.
ACTIVIDADES A DESARROLLAR

Diseñar un cronómetro de cuenta regresiva. El valor inicial del contero será la edad del estudiante. Por
ejemplo, si el estudiante tiene 32 años, el cronómetro deberá contar desde de 32 hasta 0 (32,31, 30, …
2,1,0).
Se debe tener en cuenta que el reset (R*) del Registro_conteo debe reiniciar el conteo a su valor inicial,
es decir, la edad del estudiante. Los otros reset deben poner la salida a cero.
El funcionamiento del cronómetro es el siguiente:
• El cronómetro tendrá un pulsador inicio que permitirá iniciar y detener el conteo.
• El cronómetro también tendrá un pulsador reinicio que llevará el cronómetro a su conteo inicial,
es decir, la edad del estudiante y detener el conteo.
La figura 1, muestra el diagrama de bloques del circuito a implementar.

Registro_Conteo

Figura 1: Cicuito a implementar

• Se debe hacer la implementación en VHDL del circuito propuesto.


Solución:
Link: https://www.edaplayground.com/x/4dap
• Adicionalmente se debe simular para comprobar que efectivamente el circuito funciona como se
ha descrito.

Solución:
Actividades a desarrollar
JHENYFEER BELTRAN
Diseñar un cronómetro de cuenta regresiva. El valor inicial del contero será la edad del estudiante. Por
ejemplo, si el estudiante tiene 32 años, el cronómetro deberá contar desde de 32 hasta 0 (32,31, 30, …
2,1,0).

Se debe tener en cuenta que el reset (R*) del Registro_conteo debe reiniciar el conteo a su valor inicial,
es decir, la edad del estudiante. Los otros reset deben poner la salida a cero.

El funcionamiento del cronómetro es el siguiente:

• El cronómetro tendrá un pulsador inicio que permitirá iniciar y detener el conteo.


• El cronómetro también tendrá un pulsador reinicio que llevará el cronómetro a su conteo inicial,
es decir, la edad del estudiante y detener el conteo.
•Se debe hacer la implementación en VHDL del circuito propuesto.
•Adicionalmente se debe simular para comprobar que efectivamente el circuito funciona como se ha
descrito.
Actividades a desarrollar
WILLINGTON PARADA
Diseñar un cronómetro de cuenta regresiva. El valor inicial del contero será la edad del estudiante. Por
ejemplo, si el estudiante tiene 32 años, el cronómetro deberá contar desde de 32 hasta 0 (32,31, 30,…
2, 1,0). Se debe tener en cuenta que el reset (R*) del Registro_conteo debe reiniciar
el conteo a su valor inicial, es decir, la edad del estudiante. Los otros reset deben poner la salida a
cero.

El funcionamiento del cronómetro es el siguiente:

El cronómetro tendrá un pulsador inicio que permitirá iniciar y detener el conteo.


El cronómetro también tendrá un pulsador reinicio que llevará el cronómetro a su conteo
inicial, es decir, la edad del estudiante y detener el conteo.

La figura 1, muestra el diagrama de bloques del circuito a implementar.

Implementación en VHDL
Simulación para comprobar que la implementación funciona como se ha descrito. Mi
edad es 43 años en binario equivale a 101011.
El cronómetro tendrá un pulsador inicio que permitirá iniciar y detener el conteo.

Con Inicio = 0 no comienza el conteo, tan pronto Inicio = 1 comienza el conteo desde 43, si durante el
conteo Inicio = 0 entonces se detiene el conteo en el número que estaba, con 1 continua.
Se debe tener en cuenta que el reset (R*) del Registro_conteo debe reiniciar el conteo a su valor inicial,
es decir, la edad del estudiante.

Con reinicio = 0 cuenta normalmente, si reinicio = 1, resetea el contador a 43 = 101011


durante el tiempo que permanezca el 1, una vez reinicio = 0, continua el conteo regresivo.

Reset pone la salida a cero.

Si reset = 1, la salida es 0, tan pronto reset = 0, inicia nuevamente el conteo desde 43 =


101011.
CONCLUSIONES
El desarrollo del circuito propuesto ha sido de gran utilidad, ya que nos permitió poner en práctica
conocimientos teóricos que permitieron la simulación de un cronometro de cuenta regresiva por medio de
VHDL en lo cual se pudo evidenciar la gran importancia que tienen los circuitos combinacionales y
secuenciales en el desarrollo de un contador descendente binario que permitió visualizar una aplicación
importante de los circuitos en la vida cotidiana.
Ha sido posible comprender la manera en que los Flip-Flops permiten almacenar valores en memoria.
Se ha utilizado el diseño de Flip-Flops con habilitador/deshabilitador para permitir un mejor control sobre
las salidas que se desean.
BIBLIOGRAFÍA

• Fajardo, C. (2019, noviembre 19), Segunda parte Webconferencia en VHDL en EDA Playground
Recuperado de: https://www.youtube.com/watch?v=fZPwjh-Tz-E&feature=youtu.be

• Muñoz, J. (2012). Introducción a los Sistemas Digitales: Un enfoque usando Lenguajes de


Descripción de Hardware. (Capítulos 7, 9 y 10, pp. 135-149,177-208). Madrid. Recuperado de
https://openlibra.com/es/book/introduccion-a-los-sistemas-digitales

• Flórez, F. H. A. (2010). Diseño lógico: fundamentos de electrónica digital. (Capítulos 5,6 pp. 109-
145). Recuperado de
http://bibliotecavirtual.unad.edu.co:2460/lib/unadsp/detail.action?docID=3199073

También podría gustarte