Está en la página 1de 2

Laboratorio de Ingeniería Electrónica

Guías de Prácticas Sistemas Electrónicos Digitales 1

PRÁCTICA 4 VIRTUAL: Circuitos Combinacionales Aritméticos


Objetivos
Conocer y familiarizarse con el diseño de circuitos combinacionales y su síntesis con compuertas y FPGA’s que cumplan
funciones de suma y resta de número binarios.

Materiales, equipos y herramientas


La siguiente es la lista de materiales que se utilizan en el desarrollo de la práctica

 Circuitos Integrados de acuerdo a diseño


 Resistores de acuerdo a diseño.
 Display 7 segmentos (3)
 1 DipSwitch de 8 entradas

Para el desarrollo de la práctica se requieren los siguientes equipos y herramientas:

 https://logic.ly , www.thinkercad.com

Procedimiento
1. Comprobación
Diseñar e implementar en protoboard (con Thinkercad) un sumador discreto (síntesis con compuertas) de
dos bits por dato con acarreo de entrada Cin y acarreo de salida Cout. Se recomienda diseñar un sumador de 1
bits y reutilizar el diseño. Utilizar a la salida un decodificador bcd a 7 segmentos para ver el resultado de la
suma y un dipswitch para generar las cinco entradas.

A0, A1 S0, S1 Decodificador


A
Sumador de 2 bits de BCD a 7
B0, B1 segmentos
Cin Cout

Figura 1. Esquema de sumador de 2 bits


2. Diseño
Utilizando un circuito integrado 74xx283 para diseñar e implementar en protoboard (con Thinkercad) un
circuito digital sumador/restador binario de 4 bits. El circuito debe tener como entrada dos datos: el dato A
de cuatro bits (A0, A1, A2 , A3), y B también de cuatro bits (B0, B1, B2, B3). La salida se puede implementar
utilizando cuatro leds para indicar los cuatro bits de salida y el bit de acarreo. No es necesario utilizar
acarreo de entrada. Para el diseño tenga en cuenta que se puede utilizar el complemento a 2 para hacer la
resta según se explica en la siguiente figura:
Laboratorio de Ingeniería Electrónica
Guías de Prácticas Sistemas Electrónicos Digitales 1

Recuerde que debe utilizar una línea entrada de control para indicarle al circuito el tipo de operación (suma
o resta).

3. Síntesis en FPGA

Implementar en lenguaje VHDL un sumador y restador de 4 bits. Especificar los siguientes archivos:
a) Fuentes o diseño en VHDL
b) Simulación en la herramienta EDAPlayground.
c) Constraints para programar el diseño en una board BAsys3. Se puede definir las entradas con los
diferentes interruptores: cuatro para el dato A (A0, A1, A2 y A3), otros cuatro para los datos de B
(B0, B1, B2, B3), y un selector de operación. El resultado se debe mostrar en uno display 7 seg. de
la Basys3 en formato Hexadecimal, o simplemente utilizando los leds.

Entregables
Se debe elaborar el informe en formato IEEE y subirlo a Moodle en un archivo PDF que contenga:

 Resultados del numeral de comprobación. Esquemático del diseño, y montaje en Thinkercad.


 Resultado del numeral de diseño. Explicar el diseño propuesto y la acompañarlo de su respectivo montaje en
Thinkercad.
 Para la síntesis en VHDL se deben presentar los tres archivos solicitados y los resultados de la simulación
mostrando algunas sumas de prueba.
 La estructura del documento debe contener: nombre de la práctica, integrantes, introducción, estado del arte,
resultados del procedimiento, y conclusiones.

El informe debe subirse a Moodle en las fechas indicadas. Los archivos en formato Word no serán calificados.

Referencias
 www.thinkercad.com
 https://logic.ly
 https://wilaebaelectronica.blogspot.com/2017/01/decodificador-bcd-a-7-segmentos.html
 https://reference.digilentinc.com/_media/basys3:basys3_rm.pdf

Elaboró

Javier Chaparro
Junio de 2020

También podría gustarte