Está en la página 1de 19

REPÚBLICA BOLIVARIANA DE VENEZUELA

MINISTERIO DEL PODER POPULAR PARA LA DEFENSA


UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA DE LA
FUERZA ARMADA (UNEFA)
NÚCLEO ARAGUA-SEDE MARACAY
INGENIERÍA DE SISTEMAS
ASIGNATURA: CIRCUITOS LÓGICOS

LÓGICA DE TRANSFERENCIA DE REGISTROS

AUTOR: VICTOR MOLINA


C.I: V-18.488.488
PROFESOR: ING. LUIS LUNA

MARACAY, DICIEMBRE DE 2019


ÍNDICE

Pp.
INTRODUCCIÓN………………………………………………………… 3

Registro………………………………………………………………
4

Función………………………………………………………………… 4
Registros de Desplazamiento……………………………………… 5
Tipos de Registro de Desplazamiento……………………………. 5
Registros de Desplazamiento con Entrada Serie-Salida Serie…. 6
Registros de Desplazamiento con Entrada paralelo/Salida serie.. 7
Registros de Desplazamiento con Entrada serie/Salida paralelo.. 7
Registros de Desplazamiento con Entrada Paralelo/Salida
Paralelo……………………………………………………………… 7

Aplicación de los Circuitos…………………………………………….. 8
Retardo de tiempo…………………………………………………….. 8
Convertidor de datos serie-paralelo…………………………………… 8
Formas de construir registros de desplazamientos: ………………….. 9
Diagrama de un Contador de Registro de Desplazamiento 9
Contadores…………………………………………………………… 9
Tipos de Contadores…………………………………..……………… 9
Contadores Asíncronos………………………………………………… 10
Contadores síncronos………………………………...……………… 13
CONCLUSION…………………………………………………………… 16

REFERENCIA……………………………………..……………………

2
INTRODUCCIÓN

En el presente trabajo hablaremos de Lógica de Transferencia de


Registros de la cual se desglosan los siguientes puntos: lenguaje de
transferencia de registros, símbolos básicos para el lenguaje de transferencia
de registros, notación de registros, transferencia en paralelo, transferencia en
serie, transferencia de bus, canal de bus de tres estados, transferencia de
memoria, entre otros términos.

Para poder entender todo esto y ver se explicará brevemente el


funcionamiento de un flip-flop de modo de almacenamiento de bits para
comprobar esto ya con el montaje terminado simplemente lo que se realizará
es enviarle dos datos iguales o diferentes simplemente para realizar las
operaciones de suma y resta de números binarios pero con la diferencia que
trabajaremos con los registros de datos para así poder ser almacenados
tanto como en los flip-flops como en los latches para entender de qué
manera guardan los datos y de qué forma se quitará el dato previamente
guardado, con esto realizado veremos que al realizar cualquier operación de
suma o resta nos mostrará por medio de leds que código binario dará como
resultado teniendo en cuenta si existe o no existe el carry de salida.

3
Registro

Definición: los registros de desplazamiento son circuitos lógicos


secuenciales que están íntimamente relacionados con los contadores
digitales. Los registros se utilizan principalmente para almacenar datos
digitales y normalmente no poseen una secuencia característica interna de
estados como los contadores.

Función

Definición: un registro es un circuito digital con dos funciones básicas:


almacenamiento de datos y movimiento de datos, la capacidad de
almacenamiento de un registro le convierte en un tipo importante de
dispositivo de memoria.

Como se muestra se aplica un 1 a la entrada de datos y un impulso de


reloj que hace que se almacene para comprobar esto ya con el montaje
terminado simplemente lo que se realizará es enviarle dos datos iguales o
diferentes simplemente para realizar las operaciones de suma y resta de
números binarios pero con la diferencia que trabajaremos con los registros
de datos para así poder ser almacenados tanto como en los flip-flops como
en los latches para entender de qué manera guardan los datos y de qué
forma se quitará el dato previamente guardado, con esto realizado veremos
que al realizar cualquier operación de suma o resta nos mostrará por medio
de leds que código binario dará como resultado teniendo en cuenta si existe
o no existe el carry de salida.

4
Registros de Desplazamiento

Definición: en un circuito digital secuencial(es decir, que los valores de


sus salidas dependen de sus entradas y de los valores anteriores) consiste
en una serie de biestables, generalmente de tipo D, conectados en cascada,
que basculan de forma síncrona con la misma señal de reloj. Según la
conexión de las distintas básculas, se tiene un desplazamiento a la izquierda
o a la derecha de la información almacenada, bits, en las básculas. Es de
señalar que un desplazamiento a la izquierda de un conjunto de bits,
multiplica por 2, mientras que uno a la derecha, divide entre 2. Existen
registros de desplazamiento bidireccionales,que pueden funcionar en ambos
sentidos. Los registros universales, además de bidireccionales permiten la
carga en paralelo.

Figura 1 Circuito de carga en Paralelo

Tipos de Registro de Desplazamiento

Dependiendo del tipo de entradas y salidas, los registros de


desplazamiento, se clasifican como:

5
Registros de Desplazamiento con Entrada Serie-Salida Serie

Los registros de desplazamiento con Entrada-Salida Serie salida se


aceptan datos en serie, es decir, un bit cada vez por una única línea. La
información almacenada es entregada a la salida también en forma serie. Es
decir, que solo la entrada del primer flip-flop y la salida del último son
accesibles externamente, se emplean como líneas de retardo digitales y en
tareas de sincronización. Entrada serie/Salida serie.

Figura 2: entra serie salida serie

Registros de Desplazamiento con Entrada paralelo/Salida serie

Es un registro con entrada de datos paralelo, los bits se introducen


respectivamente en sus respectivas etapas a través de líneas paralelo, una
vez que están todos los datos almacenados en el registro se hace la salida
en serie. Es exponer, que son accesibles las entradas de todos los flip-flops,
pero solo la salida del último. Normalmente también existe una entrada serie,
que solo altera el contenido del primer flip-flop, pudiendo funcionar como los
del grupo anterior.

6
Figura 3: Entrada Parale4lo/salida Paralelo

Registros de Desplazamiento con Entrada Serie/Salida paralelo:

En este tipo de registro los bits de datos se introducen en serie del


mismo modo que en el caso anterior, la diferencia está en la forma en que
dichos bits se extraen del registro; en un registro con salida paralelo, se
dispone de la salida de cada etapa. Una vez que los datos se han
almacenado, cada bit se presenta en su respectiva línea de salida, estando
disponibles todos los bits simultáneamente, en lugar de bit a bit como en el
caso de la salida en serie. Son accesibles las salidas de todos los flip-flops,
pero sólo la entrada del primero. Este tipo y el anterior se emplean para
convertir datos serie en paralelo y viceversa, por ejemplo para conexiones
serie como el RS232.

Figura 3: Entrada serie/Salida Paralelo

Registros de Desplazamiento con Entrada Paralelo/Salida Paralelo:

Como ya se ha visto la entrada en paralelo y la salida en paralelo este


circuito utiliza los mismos principios. Inmediatamente después de introducir
todos los bits de datos simultáneamente, estos aparecen en las salidas en
paralelo. Tanto las entradas como las salidas son accesibles: se usan para
cálculos aritméticos.

7
Figura 4: Entrada paralelo/Salida paralelo

Un registro de desplazamiento muy utilizado, que es universal (se


llama así porque puede utilizarse en cualquiera de las cuatro configuraciones
anteriormente descritas) y bidireccional (porque puede desplazar los bits en
un sentido u otro) es el 74HC194, de cuatro bits de datos.

Aplicación de los Circuitos

Retardo de tiempo

Los registros de desplazamiento con entrada y salida serie se usan


para obtener un retardo de tiempo de la entrada a la salida que es función del
número de etapas (n) del registro y de la frecuencia del reloj.

Convertidor de datos serie-paralelo

La transmisión de datos serie de un sistema digital a otro se usa


comúnmente para reducir el número de conductores de la línea de
transmisión. Por ejemplo se pueden enviar en serie 8 bits por un único
conductor, los cuales necesitan 8 conductores para transmitirse en paralelo.
Una computadora o un sistema basado en microprocesador, normalmente
requiere que la entrada de datos se haga en paralelo, por lo que es preciso
realizar una conversión serie-paralelo.

8
Formas de construir registros de desplazamientos:

Se pueden construir registros de desplazamiento de la siguiente


manera, Por ejemplo: Registro de entrada paralelo y salida serie. Puede
construirse con un multiplexor digital combinacional y un contador. Las
entradas de datos del multiplexor se conectan a los datos a transmitir, y las
entradas de control, a las salidas del contador (el bMs del MUX conectado al
bMs del contador), dicho contador deberá estar en modo de carrera libre.
Registro de entrada serie y salida paralelo. Similar al caso anterior, se
sustituye el multiplexor por un demultiplexor, ahora las salidas de éste serán
las salidas paralelos. Biestables en cascada. Con esto y la lógica
combinacional adecuada, se pueden construir incluso registros de
desplazamiento bidireccionales y universales, aunque en este caso es más
aconsejable disponer del 74HC194, dado que ocupa mucho menos espacio y
en un solo integrado incluye las cuatro posibles configuraciones y la
funcionalidad de desplazar los bits en ambos sentidos.

Diagrama de un Contador de Registro de Desplazamiento

Figura 5: Diagrama de un Contador

En un registro de desplazamiento

9
La información puede:

o Entrar en serie y salir en serie,


o Entrar en serie y salir en paralelo
o Entrar en paralelo y salir en serie.
o Entrar en paralelo y salir en paralelo.
o Entrar en serie y salir en serie y paralelo.
o Entrar en serie y paralelo y salir en serie

Contadores:

Definición: un contador es una combinación de flip-flops que se


conectan entre sí para realizar funciones de recuento. El número de flip-flops
que se utilizan y la forma en que se conectan determinan el número de
estados y también la secuencia específica de estados por los que pasa el
contador durante un ciclo completo.

Los contadores son circuitos secuenciales que tienen unas líneas cuyo
valor binario de salida es el resultado del número de veces que recibe un
determinado impulso de conteo.

Tipos de Contadores:

Dependiendo del modo en que se aplique la señal de reloj los


contadores se clasifican en dos amplias categorías:

Contadores asíncronos:

Son aquellos en el que los flip-flops del contador no cambian de estado


exactamente al mismo tiempo dado que no comparten el mismo impulso de

10
reloj, también podemos articular que el impulso de conteo no se recibe
simultáneamente en las entradas CLK de todos los biestables. En la Figura
podemos ver un contador asíncrono. También aparecen los cronogramas de
las señales de salida.

Figura 6: contador asíncrono


En la transición alto-bajo del reloj, el biestable cambia de estado y, por
tanto, de salida, ya que sus entradas están a 11. En la salida QA aparece
una onda a frecuencia mitad que el reloj de entrada, que es del que se
cuentan los pulsos. Las salidas de los biestables se conectan a la entrada de
reloj de los siguientes biestables, con lo que cada uno de ellos divide la
frecuencia por 2.

Si QA se considera el bit menos significativo, se puede comprobar que


los valores de las cuatro salidas se corresponden con los números binarios
de 0000 hasta 1111 repitiendo Periódicamente este proceso. Existe un cierto
retardo debido al retardo de los biestables.

11
Se pueden dar varios casos respecto a cómo son las entradas de reloj
y a las conexiones para ver el sentido de cuenta:

CLK activas flanco de bajada y conexión en Q: ASCENDENTE

CLK activas flanco de bajada y conexión en /Q: DESCENDENTE

CLK activas flanco de subida y conexión en Q: DESCENDENTE

CLK activas flanco de subida y conexión en /Q: ASCENDENTE

Con circuitería externa adicional se puede hacer que el contador


vuelva a la posición 0000 antes de llegar a 1111, con lo que con n biestables
se puede hacer un contador que cuente desde 0 hasta un número menor o
igual que 2 n.

Dicha circuitería es una puerta NAND de tantas entradas como


biestables conectadas a las salidas afirmadas o negadas de los biestables
que convengan. Incluso se puede inicializar en cualquier otro número que no
sea 0, usando las entradas asíncronas de PRESET y CLEAR que sean
necesarias.

Ejemplo: si un contador asíncrono debe contar en sentido ascendente


entre 4 y 12, se deberá poner una puerta NAND para detectar el 13 y forzar
a que la situación inicial ponga 4. Para ello, se conecta a la puerta NAND
QA,/QB,QC y QD. La puerta dará salida 0 sólo cuando detecte 13 y ese 0
forzará el 4 colocándolo a las entradas asíncronas de CLEAR de QA, QB y
QD, y a la entrada de PRESET de QC, suponiendo que son activas a nivel
bajo.

12
Figura 7: ejemplo de Contador Asincronico

Contadores Síncronos:

Son aquellos en el que los flip-flops del contador reciben en el mismo


instante la señal de reloj, dentro de cada una de estas categorías, los
contadores se clasifican por el tipo de secuencia, el número de estados o el
número de flip-flops del contador.

Los pulsos de reloj (que son los pulsos a contar) activan las entradas
CLK de todos los biestables al mismo tiempo (de ahí su nombre). Se elimina
el problema del retardo, con lo que se puede trabajar a frecuencias mayores.

Sólo el primer biestable tiene sus entradas a "1". Las restantes


entradas son excitadas por productos de las salidas de los propios
biestables. Vamos a ver cómo se diseña un contador síncrono a partir del
diseño de circuitos secuenciales.

Para ello usamos la técnica habitual de diseño de circuitos


secuenciales. Lo vamos a diseñar en sentido creciente, pero también se
podría diseñar en sentido decreciente o de cualquier manera que se nos
ocurriera.

13
Figura 8: Mapa de Karnaugh de un contador Asincronico

Con lo que queda el circuito de la Figura 9

Figura 9: Circuito lógico

14
15
16
CONCLUSION

El diseño de microprocesadores se estudia en toda sociedad, en


particular en Ingeniería de Sistema con miras a mejorar los diseños
existentes. Hoy en día se prefiere enseñar microprocesadores y arquitectura
de computadoras desde el punto de vista económico o cuantitativo, para
mejorar el rendimiento-costo. A mi parecer, no deja de ser importante
aprender las bases principales de lógica de transferencia de registros de
microprocesadores ya que ello llevará a una mejor comprensión de los
lenguajes de programación, segmentación, computadoras de procesadores
paralelos, microcontroladores, etc. Además, poder diseñar un
microprocesador sencillo que permita resolver grandes problema a nivel de
automatización de sistemas.

Todo esto nos conduce a conocer y comprender uno de los secretos


de la electrónica mas hermosos y enigmáticos. ¿Cómo se procesa la
información? ¿Cómo es la lógica de transferencia de registro? Todas estas
preguntas son el enigma de las computadoras y los estudiantes de una
ingeniería de sistema.

De igual forma se puede concluir que el lenguaje de transferencia


entre registros constituye un conjunto de expresiones y afirmaciones con
una notación simbólica para especificar las interconexiones necesarias entre
los distintos componentes de un sistema digital. Además presenta las
herramientas necesarias para describir el sistema , así como la secuencia de
microoperaciones que se realizan en el mismo, entendiéndose por
microoperación una operación elemental que se puede efectuar en
forma paralelo durante un período de pulso de reloj. Como resultado de

17
una microoperación el dato previamente almacenado en un registro
puede alterarse o ser almacenado en otro registro, etc.

Ya para cerrar, se puede afirmar que el diseño de sistemas digitales


utiliza de manera invariable un enfoque modular. Los módulos se construyen
a partir de componentes digitales como registros, decodificadores, elementos
aritméticos y lógica de control. Los diferentes módulos están interconectados
con los datos y las trayectorias de control comunes para formar un sistema
de computadora digital. En consecuencia los módulos digitales se definen
mejor por los registros que contienen y las operaciones que realizan sobre
los datos que almacenan..

18
REFERENCIAS

Arquitectura de computadores: Un enfoque cuantitativo. Hennessy –


Patterson. Ed. McGraw Hill.

Fundamentos de los microprocesadores Roger Tokheim.

Morris Mano, “Lógica Digital y Diseño de Computadores”, Editorial Dossat


S.A., 1982 2.F. Hill y G.

Organización y arquitectura de computadoras. William Stallings. Ed. Prentice


Hall.

Peterson, “Digital Systems: Hardware Organization and Design”, Nueva York:


John Wiley and Sons, 1973 3.

System Technick, “DIGI-BOARD2, Descripción Técnica”

19

También podría gustarte