Está en la página 1de 3

ESCUELA SUPERIOR POLITCNICA DE

CHIMBORAZO
FACULTAD DE INFORMTICA Y ELECTRNICA
ESCUELA DE INGENIERA ELECTRNICA EN
TELECOMUNICACIONES Y REDES

VHDL
ARQUITECTURAS
Semestre: Noveno A
Nombre:

Welington Tapuy

Cdigo:

352

Profesor: Ing. Wilson Balden M.Sc.

Riobamba Ecuador
2015

ARQUITECTURAS
Con un estilo funcional, programe en VHDL el funcionamiento del motor de un
ventilador en que el motor gire en un sentido al presionar el botn 'a' y en
direccin contraria al oprimir el botn b.

- - declaracion funcional motor


library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity motor is port(
a,b: in STD_LOGIC;
c: out STD_LOGIC);
end motor;
architecture arq_motor of motor is
begin
mo_tor : process (a,b,c) begin
if (a = 1 and b=`0`) then c <= `ror`;
else if (a=`0`and b=`1`) c <= rol`;
end if;
end if;
end process
end arq_motor;
Con un estilo por flujo de datos, programe en VHDL el funcionamiento de un
panel en una fbrica de empaquetamiento de arroz. Este panel muestra el
contenido de 2 silos (a, b) que tiene la fbrica para guardar el arroz; un sensor
detecta cun llenos estn, cuando se encuentran al 100% de su capacidad, enva
un '1 lgico', y cuando tienen 25% o menos enva un '0 lgico'; si en uno de estos
silos disminuye el contenido a 25% o menos, se prende una luz (c), si los dos
sobrepasan ese lmite se enciende otra luz (d) y suena una alarma (e).
- - declaracion funcional panel de arroz
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity panel_arroz is port(
a,b: in STD_LOGIC;
c,d,e: out STD_LOGIC);
end panel_arroz;
architecture arq_panel of panel_arroz is
begin
c <= `1` when (a= `1`and b=`0`and when a=`0`and b=`1`) else
c <=`0`;
d <= `1` when (a= `0`and b= `0`) else
d <= `0`;
e <= `1` when (a= `0`and b= `0`) else
e <= `0`;
end arq_panel;
VHDL

Pgina 1

Mediante el estilo de programacin por flujo de datos, programe en VHDL el


funcionamiento de un robot en una planta que espera a que se llene una tarima
con cuatro cajas antes de llevarla a la bodega de almacenamiento; para saber si la
tarima est llena cuenta con cuatro sensores, cada uno apunta a sendas cajas; si
hay una caja marca un '1 lgico'; si falta, marca un '0 lgico'. Si falta alguna caja
el robot no se puede ir, cuando estn las cuatro cajas el robot se lleva la tarima.

- - declaracion funcional robot


library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity robot1 is port(
a,b,c,d: in STD_LOGIC;
e: out STD_LOGIC);
end robot1;
architecture arq_robot1 of robot1 is
begin
e<= `1` when (a= `1`and b=`1`and c=`1`and b=`1`) else
c <= `0;
end arq_robot1;
Con el estilo de programacin por flujo de datos, programe en VHDL el
funcionamiento de una caja de seguridad cuya apertura requiere la presin
simultnea de tres de cuatro botones.

- - declaracion funcional caja de seguridad


library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity caja1 is port(
a,b,c,d: in STD_LOGIC;
e: out STD_LOGIC);
end caja1;
architecture caja1 of caja1 is
begin
e <= `1` when (a= `1`and b=`0`and c=`1`and b=`1`) else c <= `0;
end caja1;

VHDL

Pgina 2

También podría gustarte