Documentos de Académico
Documentos de Profesional
Documentos de Cultura
Para este laboratorio usted simulara un Flip-Flop tipo D con señal de habilitación y
señal de reset. Para ello siga las siguientes instrucciones:
Este laboratorio tiene como propósito simular este dispositivo usando un código en
lenguaje VHDL y caracterizar su comportamiento. Siga las siguientes
instrucciones:
a. Genere las ondas digitales clk, reset, ena y D con las instrucciones dadas
por el docente. Usted puede guiarse del codigo que esta al final de este
documento, pero debe editarlo para que las entradas cumplan las
condiciones específicas mencionadas en este documento.
b. Describa que función tienen las señales, clk, reset, ena y D en el Flip –
Flop.
c. Muestre la salida Q, y describa sus cambios, de acuerdo con el
funcionamiento del Flip – Flop y las señales de entrada. (simular hasta 200
ns)
--TestBench
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity Simulacion is
--
end Simulacion;
component flipflopD
reset : in STD_LOGIC;
D : in STD_LOGIC;
ena : in STD_LOGIC;
Q : out STD_LOGIC
);
end component;
-- Señales de salidas
begin
D => Dsig,
ena => enasig,
Q=> Qsig
);
clksig<='1';
clksig<='0';
end process;
process begin
enasig <='1';
resetsig<='1';
wait;
end process;
end Behavioral;
design.vhd
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.std_logic_unsigned.all;
entity flipflopd is
reset : in STD_LOGIC;
D : in STD_LOGIC;
ena : in STD_LOGIC;
Q : out STD_LOGIC
);
end flipflopD ;
begin
process (clk)
begin
if reset='1' then
Q <= '0';
Q<=D;
end if;
end if;
end process;
end Behavioral;